CN105793955A - 通过dc偏压调制的颗粒产生抑制器 - Google Patents

通过dc偏压调制的颗粒产生抑制器 Download PDF

Info

Publication number
CN105793955A
CN105793955A CN201480065285.5A CN201480065285A CN105793955A CN 105793955 A CN105793955 A CN 105793955A CN 201480065285 A CN201480065285 A CN 201480065285A CN 105793955 A CN105793955 A CN 105793955A
Authority
CN
China
Prior art keywords
electrode
top electrodes
bias
plasma
bias voltage
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201480065285.5A
Other languages
English (en)
Other versions
CN105793955B (zh
Inventor
白宗薰
S·朴
陈兴隆
D·卢博米尔斯基
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Priority to CN201811055730.6A priority Critical patent/CN109166782B/zh
Priority to CN201811056805.2A priority patent/CN108922844A/zh
Publication of CN105793955A publication Critical patent/CN105793955A/zh
Application granted granted Critical
Publication of CN105793955B publication Critical patent/CN105793955B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/503Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using dc or ac discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32146Amplitude modulation, includes pulsing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/3255Material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32568Relative arrangement or disposition of electrodes; moving means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32697Electrostatic control
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma Technology (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)
  • Formation Of Insulating Films (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)

Abstract

本公开的实施例总体上涉及用于减少处理腔室中的颗粒产生的设备与方法。在一个实施例中,该方法总体上包括:在通电的顶部电极与接地的底部电极之间产生等离子体,其中该顶部电极平行于该底部电极;以及在膜沉积工艺期间对通电的顶部电极施加恒定为零的DC偏压电压,以最小化该通电的顶部电极与等离子体之间的电位差和/或该接地的底部电极与等离子体之间的电位差。最小化等离子体与电极之间的电位差减少颗粒产生,因为减小了这些电极的鞘区域中的离子的加速,且最小化离子与这些电极上的保护性涂覆层的碰撞力。因此,减少了基板表面上的颗粒产生。

Description

通过DC偏压调制的颗粒产生抑制器
背景
技术领域
本公开的实施例总体上涉及用于减少处理腔室中的颗粒产生的设备与方法。
背景技术
在半导体器件的制造中,等离子体腔室通常用于执行各种制造工艺,诸如蚀刻、化学气相沉积(CVD)与溅射。通常,当处理气体的混合物持续流入腔室中且电源将气体激发到等离子体状态时,真空泵维持腔室内的非常低的压强。工艺气体混合物的组成被选择成实行期望的制造工艺。
已经观察到,传统的等离子体处理腔室设计之一(其中在位于气体分配板的上的两个平行电极之间产生等离子体)会由于对电极的离子轰击而导致基板表面上的不想要的颗粒污染。在需要较高的RF输入功率(例如,超过550W)的沉积工艺中,一旦已创建等离子体,高的自感应的负DC偏压就自然地建立在通电的电极处。等离子体与自感应的负DC偏压之间的电位差在通电的电极处或附近形成鞘(sheath)电压。此鞘电压导致等离子体内的正离子朝向通电的电极加速,导致对通电的电极的离子轰击。在通电的电极包括保护性涂覆层的情况中,保护性涂覆层的一部分会由于离子轰击而剥落并且污染基板表面。虽然可使用较低的输入功率来产生等离子体(并且因此减少颗粒污染),但是将降低膜沉积速率,这进而会降低工艺生产率。
因此,本领域中需要一种设备与工艺,可以有效地减少基板表面上的污染颗粒的产生并且维持高工艺生产率(即使是利用高等离子体功率),而不会显著增加处理或硬件成本。
发明内容
本公开的实施例总体上涉及用于减少处理腔室中的颗粒产生的设备与方法。在一个实施例中,提供了用于减少处理腔室中的颗粒产生的方法。该方法包括:在顶部电极与底部电极之间产生等离子体,其中该顶部电极基本上平行于该底部电极;以及在膜沉积工艺期间对该顶部电极施加恒定为零的DC偏压电压。
在另一实施例中,提供了用于减少处理腔室中的颗粒产生的方法。该方法包括:在顶部电极与底部电极之间产生等离子体,其中该顶部电极基本上平行于该底部电极;监测在该顶部电极处产生的DC偏压电压以获得DC偏压反馈信号;基于该DC偏压反馈信号来控制在该顶部电极处的DC偏压电压极性,以在膜沉积工艺期间调整该顶部电极与该等离子体之间的电位差和/或该底部电极与该等离子体之间的电位差。
在又另一实施例中,提供了一种用于处理基板的设备。该设备包括:腔室主体;盖组件,该盖组件设置在该腔室主体上方,该盖组件包括顶部电极与底部电极,该底部电极定位成基本上平行于该顶部电极;气体分配板,该气体分配板设置在基板处理区域与该盖组件之间;以及基板支撑件,该基板支撑件设置在该腔室主体内,该基板支撑件支撑该基板处理区域中的基板,其中该顶部电极电连接至射频(RF)电源与DC偏压调制配置,且该DC偏压调制配置配置成在膜沉积工艺期间以恒定为零的DC偏压电压来操作该顶部电极。
附图说明
因此,为了可详细地理解本发明的上述特征的方式,可通过参照实施例对上文中简要概述的本公开进行更特定的描述这些实施例中的一些在附图中示出。然而,注意到,附图仅示出本公开的典型实施例,因此此附图不被视为限制本公开的范围,因为本公开可承认其他等效的实施例。
图1是根据本公开的实施例的可用于处理半导体基板的处理腔室的示意性横剖面视图。
图2示出根据本公开案的实施例的DC偏压方案(1)-(5)的颗粒测量。
图3示出根据本公开的实施例的DC偏压方案(6)-(9)的颗粒测量。
图4是示出根据本公开的实施例以不同的DC偏压电压在第一电极(FP)与第二电极(SMD)上测量到的离子能量变化的示图。
图5描绘根据本公开的实施例的示出耦接至DC偏压调制配置的盖组件的图1的处理腔室的示意性横剖面视图。
为了促进理解,已经在任何可能的地方使用相同的附图标记来表示附图中共同的相同组件。可构想到,实施例中公开的元件可有利地用于其他实施例中而不用具体详述。
具体实施方式
本公开的实施例总体上涉及用于减少处理腔室中的颗粒产生的设备与方法。该方法总体上包括:在顶部电极与底部电极之间产生等离子体,以及对该顶部电极施加零DC偏压电压,以使得在膜沉积工艺期间利用恒定为零的DC偏压电压来操作该顶部电极。在另一实施例中,提供了一种用于处理基板的设备。该设备总体上包括盖组件,盖组件设置在腔室主体的上方。盖组件具有通电的顶部电极与接地的底部电极(设置成平行于通电的顶部电极),在其之间界定等离子体容积。低通滤波器设置在盖组件与RF电源之间并且配置成将DC偏压引导至地,以使得在膜沉积工艺期间以恒定为零的DC偏压电压来操作顶部电极(RF热的)。
对顶部电极施加零DC偏压电压最小化通电的顶部电极与等离子体之间的电位差或接地的底部电极与等离子体之间的电位差,否则由于对通电的顶部电极或接地的底部电极上的保护性涂覆层的离子轰击,该电位差会导致基板表面上的颗粒污染。下面讨论本公开的细节与各种实施方式。
示例性腔室硬件
图1是根据本公开的实施例的可用于处理半导体基板130的处理腔室100的示意性横剖面视图。处理腔室100对于执行热工艺或基于等离子体的工艺会特别有用。处理腔室100总体上包括:腔室主体102;盖组件104,盖组件104设置在腔室主体102上方;以及基板支撑组件106,基板支撑组件106部分地设置在腔室主体102内。盖组件104设置在基板处理区域152(在其中设置有基板130)的上方,并且通过气体分配板134与任选的阻隔板132与基板处理区域152分隔。阻隔板132(若有使用)与气体分配板134中的每一个具有相应的通孔133、135以允许等离子体从盖组件104传递至基板处理区域152。真空系统可用于从处理腔室100移除气体。真空系统包括真空泵108,真空泵108耦接至真空口110,真空口110设置在腔室主体102中。处理腔室100可附加地包括控制器136以用于控制处理腔室100内的工艺。
盖组件104包括相对地设置在第二电极114上方的第一电极112。第一电极112与第二电极114形成一对平行的电极。第一与第二电极112、114可由高度掺杂的硅或金属(诸如,铝、不锈钢等)制成。第一与第二电极112、114可涂覆有保护层,保护层包括氧化铝或氧化钇。在一个实施例中,第一电极112可包括两个层叠的部件116、118,其中部件116的一部分可形成由部件118围绕的截头圆锥形。层叠的部件116、118与支撑层叠的部件116、118的第二电极114在其之间界定等离子体容积或腔120。若需要的话,层叠的部件116、118可建构为单个集成的单元。在任一情况中,第一电极112可与第二电极114分隔,在其之间具有绝缘构件。
在一个实施例中,第一电极112分别连接至射频(RF)电源122与DC偏压调制配置150。RF电源122可以以大约400kHz与大约60MHz之间的频率在大约0W与大约3000W之间操作。在一个示例中,RF电源122以13.56MHz的频率操作。DC偏压调制配置150可包括DC电源124、耦接至DC电源124的RF滤波器126以及功率控制器144。RF滤波器126配置成防止RF信号(例如,来自RF电源122的信号)进入并损伤DC电源124。功率控制器144耦接至DC电源124并且配置成基于从第一电极112发射的DC偏压反馈信号来为DC电源124设定一设定点。由RF电源122传送的且由匹配网络146调谐的RF功率诱导第一电极112上的DC偏压以控制第一电极112的离子轰击的能量。虽然未示出,RF电源122可设置在与DC电源124相同的外壳中。
第二电极114接地,由此在第一电极112与第二电极114之间形成电容。若期望的话,第二电极114可电性浮动。盖组件104也可包括一个或多个气体入口128以用于依序经由形成在第二电极114中的通孔131、经由形成在阻隔板132中的通孔133、以及然后经由形成在气体分配板134中的通孔135将工艺气体提供至基板130的表面。工艺气体可以是蚀刻剂或离子化的活性基(诸如离子化的氟、氯、或氨水)、或氧化剂,诸如臭氧。在某些实施例中,工艺气体可包括含有NF3与He的等离子体。若期望的话,含有上述化学物质的远程等离子体可经由分离的气体入口(未示出)而引入处理腔室100中并且引进至气体分配板134。
基板支撑组件106可包括基板支撑件138以在处理期间支撑其上的基板130。基板支撑件138可通过轴142耦接至致动器140,轴142延伸通过形成在腔室主体102的底表面中的位于中心的开口。致动器140可通过波纹管(未示出)柔性地密封至腔室主体102,波纹管防止真空从轴142的周围泄漏。致动器140允许基板支撑件138在工艺位置与较低的转移位置之间在腔室主体102内垂直移动。转移位置稍微低于形成在腔室主体102的侧壁中的狭缝阀的开口。
基板支撑件138具有平坦的(或基本上平坦的)表面以用于支撑将在其上处理的基板130。基板支撑件138可通过致动器140在腔室主体102内垂直移动,致动器140通过轴142耦接至基板支撑件138。在操作中,基板支撑件138可升高至靠近盖组件104的位置,以控制要处理的基板130的温度。因此,基板130可经由从分配板134发出的辐射或来自分配板134的对流来加热。
通过DC偏压调制的颗粒产生抑制器
为了减少基板表面的颗粒污染(如同本公开的背景技术中所述的),发明人利用多种DC偏压方案(1)-(5)使用相同的工艺配方来执行一系列示例性氮化物沉积工艺以确定不同的DC偏压功率如何影响基板表面上的颗粒数量。示例性氮化物沉积工艺在处理腔室中(诸如图1的处理腔室100)中执行。多种DC偏压方案(1)-(5)(以及相关于图3在下面讨论的方案(6)-(9))是使用图1的DC偏压调制配置150或图5所示的DC偏压调制配置500来执行。
在多种DC偏压方案(1)-(5)中,针对以下条件执行示例性氮化物沉积工艺大约300秒:大约0.7托耳的腔室压力、大约575W的RF功率(13.56MHz)、大约20sccm的NF3流动速率、大约900sccm的N2O流动速率、大约4000sccm的He流动速率、大约15℃的第一电极112的温度、大约70℃的第二电极114的温度、以及第一与第二电极112、114的每一者涂覆有大约60nm厚的氧化物保护层(例如,氧化钇)。在图2中示出针对每一DC偏压方案(1)-(5)的颗粒测量。发明人观察到,当第二电极114电性接地且没有DC偏压电压施加在第一电极112(即,根本没有在图1的处理腔室100中使用DC电源124)时,DC偏压方案(1)描绘了在沉积工艺之后基板表面上的颗粒数量从大约45增加至大约145。增加的颗粒数量相信是等离子体中产生的正离子被吸引至第一电极112的结果,第一电极112由于当创建等离子体时在第一电极112上建立的不可避免的自感应的DC偏压(大约+31V)处于负电位。在沉积工艺期间,离子朝向第一电极112加速并且轰击第一电极112上的保护性涂覆层,从而导致保护性涂覆层的一部分掉落并且污染基板表面。
DC偏压方案(3)-(5)描绘了:当-25V、-75V或-150V的负DC偏压电压分别施加在第一电极112时(其中第二电极114电性接地),基板表面上的颗粒的总数量逐渐增加。具体地,DC偏压方案(3)显示在沉积工艺之后基板表面上的颗粒数量从大约22增加至大约96。DC偏压方案(4)显示在沉积工艺之后基板表面上的颗粒数量从大约14增加至大约189。DC偏压方案(5)显示在沉积工艺之后基板表面上的颗粒数量从大约11增加至饱和的水平。DC偏压方案(3)-(5)描绘出清楚的趋势:对于第一电极112的负偏压电压的增加会导致基板表面上更多的颗粒产生,主要是由于第一电极112与等离子体之间的电位差的逐渐增加。当第一电极112与等离子体之间的电位差增加时,第一电极112处的鞘电压相应地增加,这导致第一电极112的鞘区域中的正离子的加速以及离子与第一电极112上的保护性涂覆层的碰撞力的增加。因此,观察到基板表面上更多的颗粒产生。当使用高输入功率(超过550W)用于沉积工艺时,颗粒产生会变得更加有问题,因为较高的输入功率也会在盖组件的通电的第一电极112处建立高的自感应的负DC偏压。此种高的自感应的负DC偏压与第一电极112处的鞘电压(由于第一电极112与等离子体之间的电位差引起的)导致对第一电极112上的保护性涂覆层的高能量离子轰击。因此,保护性涂覆层的一部分从第一电极112掉落并且污染基板表面。
令人惊讶地,发明人已经观察到,当对第一电极112施加零DC偏压电压时(即,在沉积工艺期间,以恒定为零的DC偏压电压操作第一电极112同时第二电极114电性接地),DC偏压方案(2)仅导致在沉积工艺之后基板表面上的颗粒数量从大约8相对较小地增加至大约66。与DC偏压方案(1)相比,DC偏压方案(2)显示从100至大约58的改良的颗粒减少。事实上,在DC偏压方案(2)下的颗粒数量的增加被发现是方案(1)-(5)之中最小的。因此,发明人发现,通过在沉积工艺期间对第一电极112施加恒定为零的DC偏压电压,可极大地抑制基板表面上的颗粒产生,因为第一电极112(RF热表面)与等离子体之间的电位差(V第一电极–V等离子体)降低,这进而减小第一电极112处的鞘电压(见图4)。因此,降低了第一电极112的鞘区域中的离子的加速,并且最小化了离子与第一电极112的保护性涂覆层的碰撞力。
发明人利用多种DC偏压方案(6)-(9)使用如同上面讨论的相同工艺配方来进一步执行一系列的氮化物沉积工艺,以确定不同的DC偏压功率(特别是正电压)如何影响基板表面上的颗粒数量。在图3中示出针对每一DC偏压方案(6)-(9)的颗粒测量。发明人观察到,当没有DC偏压电压施加在第一电极112(即,在图1的处理腔室100中根本没有使用DC电源124)时,DC偏压方案(6)描绘在沉积工艺之后基板表面上的颗粒数量从大约16增加至大约4097。增加的颗粒数量是由于负DC偏压引起的先前损伤、等离子体与第一电极112上所建立的高的自感应的负DC偏压之间的电位差(导致对第一电极112的离子轰击),以及还有如下事实:等离子体的电位显著大于接地的第二电极114的电位,这导致离子轰击第二电极114上的保护性涂覆层(即使在沉积工艺期间并没有DC偏压电压施加到第一电极112)。
DC偏压方案(8)与(9)显示:当75V与100V的正DC偏压电压分别施加到第一电极112时(其中第二电极114电性接地),基板表面上的颗粒的总数量显著增加。具体地,DC偏压方案(8)显示在沉积工艺之后基板表面上的颗粒数量从大约27显著增加至大约9102。DC偏压方案(9)也显示在沉积工艺之后基板表面上的颗粒数量从大约11显著增加至大约3469。DC偏压方案(8)-(9)描绘了对于第一电极112的正DC偏压电压的增加会导致基板表面上更多的颗粒产生,主要是由于接地的第二电极114与等离子体之间的电位差的较大增加(与第一电极112相比,见图4),因为等离子体必须假定为正电位以在接地的第二电极114处产生同等大小的电位,以反映由施加到第一电极112的正DC偏压电压引起的较大离子鞘电位。当第二电极114与等离子体之间的电位差增加时,第二电极114处的鞘电压也增加,这导致第二电极114的鞘区域中的离子的加速以及离子与第二电极114上的保护性涂覆层的碰撞力的增加。因此,观察到基板表面上更多的颗粒产生。
类似的,发明人观察到,当对第一电极112施加零DC偏压电压时(即,在沉积工艺期间,以恒定为零的DC偏压电压操作第一电极112),DC偏压方案(7)描绘在沉积工艺之后基板表面上的颗粒数量从大约15相对较小地增加至大约767。当与DC偏压方案(6)相比时,DC偏压方案(7)显示即使电极被先前的负DC偏压损伤,施加零DC偏压电压仍然将颗粒减少从4081改善至大约752,。事实上,在DC偏压方案(7)下的颗粒数量的增加被发现是方案(6)至(9)之中最小的。因此,发明人发现,通过在沉积工艺期间对第一电极112施加恒定为零的DC偏压电压,可极大地抑制基板表面上的颗粒产生,因为第一电极112与等离子体之间的电位差(V第一电极–V等离子体)以及等离子体与第二电极114(接地表面)和腔室壁(接地表面)之间的电位差(V第二电极–V等离子体)基本上彼此相等,这导致第一与第二电极112、114处的大约60V的最小鞘电压(见图4)。因此,第一与第二电极112、114两者基本上经历相同的由于高RF输入功率而导致的来自等离子体的离子轰击。然而,当零DC偏压电压施加至第一电极112时,电极112、114两者上的离子轰击能量是相对小于当正或负DC偏压电压施加到第一电极112时电极112、114两者上的离子轰击能量,如同图4所证明的,图4是示出根据本公开的一个实施例的不同DC偏压电压处的第一电极(FP)与第二电极(SMD)上测量到的离子能量变化的示图400。图4示出当零DC偏压电压施加至第一电极时,第一与第二电极上测量到的离子能量为大约60V,这相对小于当100V或-100V的DC偏压电压分别施加至第一电极时第一电极上测量到的离子能量(大约110V)或第二电极上测量到的离子能量(大约160V)。
基于上述的DC偏压方案(1)-(9),发明人已经确定,电极112、114上的保护性涂覆层会容易被离子轰击损伤,其中离子能量主要由第一电极112处的自感应DC偏压所决定。发明人发现,对第一电极112施加高的DC偏压电压(无论是正或负的DC偏压电压)会导致基板表面上的较高的颗粒污染。然而,在高功率膜沉积工艺期间对第一电极112施加恒定为零的DC偏压电压可帮助最小化第一电极112(RF热的)与等离子体之间的电位差(V第一电极–V等离子体)或者等离子体与第二电极114(接地表面)和腔室壁(接地表面)之间的电位差(V第二电极–V等离子体),而不会对膜沉积分布有任何显著的影响。最小化等离子体与电极112、114之间的电位差可减少颗粒产生,因为第一与第二电极的两侧处的鞘电压保持为最小,即使当RF输入功率为高时(超过550W)。因此,离子与第一和第二电极112、114上的保护性涂覆层的碰撞力减小,导致基板表面上的颗粒产生的减少。
若期望的话,DC偏压电压可被调制成通过控制DC偏压电压极性来控制第一电极112和/或第二电极114上的离子轰击量。为了准确地控制DC偏压,基于诸如腔室配置、电极的表面积、化学与工艺状况、从第一电极112发送的DC偏压反馈信号等因素,或者基于电极的涂覆质量,使用功率控制器(例如,图1所示的功率控制器144)来执行闭环DC偏压调制。例如,若第一电极112具有较弱的保护性涂覆层(由于其截头圆锥形会在本性上使强力涂覆失效)并且第二电极114具有较强的保护性涂覆层,稍微正的DC偏压可传送至第一电极112以减少第一电极112上的轰击。在一个示例性实施例中,功率控制器144可配置成监测第一电极112(RF热的)上的自感应的DC偏压,而不用对第一电极112施加DC偏压电压。根据DC偏压反馈,在沉积工艺期间对第一电极112施加适当的DC偏压电压。DC偏压电压可以是零或可调整成通过控制DC偏压电压极性来控制第一电极112和/或第二电极114上的离子轰击量,如同上面讨论的。
可实施多种方法来进一步增进基板表面上的颗粒产生的减少。例如,在某些实施例中,接合/粘合材料可使用在保护性涂覆层与底层电极之间,以提供较强的保护性涂覆层。接合/粘合材料对于第一电极112特别有利,因为第一电极112可能由于在本性上使强力涂覆失效的其截头圆锥形而具有较弱的涂覆质量,而第二电极114可能具有好得多的涂覆质量(因为第二电极114在底部处具有通孔131,通孔131会促成较强的涂覆能力来承受离子轰击)。在某些实施例中,气体分配板134可受到有效的冷却处理(达到不影响工艺性能的程度),以便在沉积工艺期间降低第二电极114的温度。这是因为第二电极114在工艺期间加热升温并冷却下来,且设置于其上的保护性涂覆层会经历来自此种温度循环的热应力,导致增加的颗粒产生。降低第二电极114的温度(例如,通过使冷却流体流过形成在气体分配板134中的通道137)降低第二电极114的温度变化,由此促进基板表面上的颗粒产生的减少。
对盖组件104(盖组件104限制等离子体的辉光放电区域)的通电的电极施加恒定为零的DC偏压电压来减少颗粒污染的理念可以用多种方法实现,诸如图5所示的一个方法。图5描绘根据本公开的实施例的示出耦接至DC偏压调制配置500的盖组件104的图1的处理腔室100的示意性横剖面视图。
在一个实施例中,第一电极112分别电连接至射频(RF)电源522与DC偏压调制配置500。DC偏压调制配置500可设置在盖组件104外的任何位置处,诸如第一电极112与地之间的位置处。虽然未示出,RF电源522可设置在与DC偏压调制配置500相同的外壳中。DC偏压调制配置500通常用作低通滤波器,配置成将第一电极112处产生的自感应的DC偏压和/或任何DC偏压引导至地,同时防止由RF电源522传送的且由匹配网络524调谐的RF功率进入地而是使之去到第一电极112。因为第一电极112的DC偏压被引导至地,第一电极112在沉积工艺期间可维持在地电位(即,第一电极112处的DC偏压电压恒定地保持为零),而与RF输入功率或工艺无关。因此,第一电极112(RF致热的)与等离子体之间的电位差(V第一电极–V等离子体)或者等离子体与第二电极114(接地表面)和腔室壁(接地表面)之间的电位差(V第二电极–V等离子体)被减小或最小化。如同上面关于图2-图4讨论的,最小化等离子体与电极112、114之间的电位差可减少颗粒产生,而不会对膜沉积分布有任何显著的影响,因为第一与第二电极的两侧处的鞘电压保持最小。因此,离子与形成在第一和第二电极112、114上的保护性涂覆层的碰撞力减小,导致基板表面上的颗粒产生的减少。
在图5所示的一个实施例中,DC偏压调制配置500总体上包括芯元件528与线圈530,线圈530缠绕芯元件528的一部分。线圈530可均匀分布在芯元件528的长度上,以获得DC偏压的感应效应的增加。因为芯元件528用于增强感应效应,线圈530本身可用于引导DC偏压电压,而在某些实施例中不需要芯元件528存在于DC偏压调制配置500中。芯元件528可包括高导磁率的杆或管,例如铁氧体杆,但根据耦接结构,其可以是在较低频率处有用的其他磁性材料。在一个实施例中,芯元件528可具有大约3英寸至大约8英寸(例如大约5英寸)的长度,以及大约0.2英寸至大约2英寸(例如大约1英寸)的直径。
所得DC偏压调制配置500在13.56MHz的频率处可具有大约50db的功率衰减以及大约22uH的电感值(等于大约1900欧姆的电阻值),这对RF信号提供了高阻抗,且因此RF信号被禁止通过DC偏压调制配置500而进入地。然而,此种高值的电阻被认为对于DC信号是电性闭合的。换句话说,DC偏压调制配置500对于DC偏压电压不具有阻抗。
虽然芯元件528与线圈530被示为DC偏压调制配置500的示例,这些部件不意在作为对本文所述的公开内容的范围的限制。相反,构想可配置为低通滤波器或带通滤波器(以单极或多级的配置)来截止感兴趣的频率的任何电部件或电路,只要该电部件或电路能够对RF信号提供高阻抗路径并且对来自第一电极112的DC信号提供至地的低或无阻抗路径。
总结来说,通过将恒定为零的DC偏压电压施加至盖组件(盖组件设置在腔室主体的基板处理区域的上方)的通电的电极(通电的电极平行于接地的电极,以限制等离子体的辉光放电区域)来最小化通电的电极与等离子体之间的电位差或接地的电极与等离子体之间的电位差,来实现减少处理腔室中的颗粒产生的实施例。最小化等离子体与电极之间的电位差可减少颗粒产生,因为这些电极的鞘区域中的离子的加速降低,并且离子与电极上的保护性涂覆层的碰撞力被最小化。因此,减少了基板表面上的颗粒产生。
虽然以上内容针对本公开的实施例,但是可设计本公开的其他和进一步的实施例而不背离本公开的基本范围,并且本公开的范围由所附权利要求书来确定。

Claims (15)

1.一种用于减少处理腔室中的颗粒产生的方法,所述方法包括以下步骤:
在顶部电极与底部电极之间产生等离子体;以及
在膜沉积工艺期间对所述顶部电极施加恒定为零的DC偏压电压。
2.如权利要求1所述的方法,其中所述等离子体是通过大约550W或更高的射频(RF)输入功率而产生的。
3.如权利要求1所述的方法,其中所述顶部电极与所述底部电极设置在处理区域的上方,基板设置在所述处理区域中。
4.如权利要求1所述的方法,其中所述底部电极电连接至地。
5.一种用于减少处理腔室中的颗粒产生的方法,所述方法包括以下步骤:
在顶部电极与底部电极之间产生等离子体;
监测在所述顶部电极处产生的DC偏压电压以获得DC偏压反馈信号;以及
基于所述DC偏压反馈信号来控制所述顶部电极处的DC偏压电压极性,以在膜沉积工艺期间调整所述顶部电极与所述等离子体之间的电位差和/或所述底部电极与所述等离子体之间的电位差。
6.如权利要求5所述的方法,其中控制所述顶部电极处的DC偏压电压极性的步骤包括以下步骤:利用恒定为零的DC偏压电压来操作所述顶部电极。
7.如权利要求6所述的方法,其中利用恒定为零的DC偏压电压来操作所述顶部电极是通过对所述顶部电极施加零DC偏压电压来执行的。
8.如权利要求6所述的方法,其中利用恒定为零的DC偏压电压来操作所述顶部电极是通过将DC偏压电压从所述顶部电极引导至地来执行的。
9.如权利要求5所述的方法,其中所述底部电极电连接至地。
10.一种用于处理基板的设备,所述设备包括:
腔室主体;
盖组件,所述盖组件设置在所述腔室主体的上方,所述盖组件包括顶部电极与底部电极,所述底部电极定位成基本上平行于所述顶部电极;
气体分配板,所述气体分配板设置在基板处理区域与所述盖组件之间;以及
基板支撑件,所述基板支撑件设置在所述腔室主体内,所述基板支撑件支撑所述基板处理区域中的基板;
其中所述顶部电极电连接至射频(RF)电源与DC偏压调制配置,并且所述DC偏压调制配置配置成在膜沉积工艺期间以恒定为零的DC偏压电压来操作所述顶部电极。
11.如权利要求10所述的设备,其中所述DC偏压调制配置包括DC电源与功率控制器,所述功率控制器配置成对所述顶部电极施加恒定为零的DC偏压电压。
12.如权利要求10所述的设备,其中所述DC偏压调制配置进一步包括RF滤波器,所述RF滤波器耦接至所述DC电源并且配置成防止来自所述RF电源的RF信号进入所述DC电源。
13.如权利要求10所述的设备,其中所述DC偏压调制配置包括电部件,所述电部件配置成将在所述顶部电极处产生的DC偏压引导至地。
14.如权利要求13所述的设备,其中所述电部件包括处于单极或多级配置的低通滤波器或带通滤波器。
15.如权利要求13所述的设备,其中所述电部件向来自所述RF电源的RF信号提供高阻抗路径,并且向来自所述顶部电极的DC信号提供低或无阻抗路径。
CN201480065285.5A 2013-11-06 2014-10-15 通过dc偏压调制的颗粒产生抑制器 Expired - Fee Related CN105793955B (zh)

Priority Applications (2)

Application Number Priority Date Filing Date Title
CN201811055730.6A CN109166782B (zh) 2013-11-06 2014-10-15 通过dc偏压调制的颗粒产生抑制器
CN201811056805.2A CN108922844A (zh) 2013-11-06 2014-10-15 通过dc偏压调制的颗粒产生抑制器

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201361900838P 2013-11-06 2013-11-06
US61/900,838 2013-11-06
PCT/US2014/060768 WO2015069428A1 (en) 2013-11-06 2014-10-15 Particle generation suppressor by dc bias modulation

Related Child Applications (2)

Application Number Title Priority Date Filing Date
CN201811055730.6A Division CN109166782B (zh) 2013-11-06 2014-10-15 通过dc偏压调制的颗粒产生抑制器
CN201811056805.2A Division CN108922844A (zh) 2013-11-06 2014-10-15 通过dc偏压调制的颗粒产生抑制器

Publications (2)

Publication Number Publication Date
CN105793955A true CN105793955A (zh) 2016-07-20
CN105793955B CN105793955B (zh) 2019-09-13

Family

ID=53006533

Family Applications (3)

Application Number Title Priority Date Filing Date
CN201480065285.5A Expired - Fee Related CN105793955B (zh) 2013-11-06 2014-10-15 通过dc偏压调制的颗粒产生抑制器
CN201811056805.2A Pending CN108922844A (zh) 2013-11-06 2014-10-15 通过dc偏压调制的颗粒产生抑制器
CN201811055730.6A Expired - Fee Related CN109166782B (zh) 2013-11-06 2014-10-15 通过dc偏压调制的颗粒产生抑制器

Family Applications After (2)

Application Number Title Priority Date Filing Date
CN201811056805.2A Pending CN108922844A (zh) 2013-11-06 2014-10-15 通过dc偏压调制的颗粒产生抑制器
CN201811055730.6A Expired - Fee Related CN109166782B (zh) 2013-11-06 2014-10-15 通过dc偏压调制的颗粒产生抑制器

Country Status (6)

Country Link
US (3) US9593421B2 (zh)
JP (2) JP2017504955A (zh)
KR (2) KR102133895B1 (zh)
CN (3) CN105793955B (zh)
TW (3) TWI610360B (zh)
WO (1) WO2015069428A1 (zh)

Families Citing this family (99)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11615941B2 (en) 2009-05-01 2023-03-28 Advanced Energy Industries, Inc. System, method, and apparatus for controlling ion energy distribution in plasma processing systems
US9767988B2 (en) 2010-08-29 2017-09-19 Advanced Energy Industries, Inc. Method of controlling the switched mode ion energy distribution system
US9685297B2 (en) 2012-08-28 2017-06-20 Advanced Energy Industries, Inc. Systems and methods for monitoring faults, anomalies, and other characteristics of a switched mode ion energy distribution system
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
KR102133895B1 (ko) * 2013-11-06 2020-07-15 어플라이드 머티어리얼스, 인코포레이티드 Dc 바이어스 변조에 의한 입자 발생 억제기
US10892140B2 (en) * 2018-07-27 2021-01-12 Eagle Harbor Technologies, Inc. Nanosecond pulser bias compensation
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
JP6542053B2 (ja) * 2015-07-15 2019-07-10 株式会社東芝 プラズマ電極構造、およびプラズマ誘起流発生装置
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10358721B2 (en) * 2015-10-22 2019-07-23 Asm Ip Holding B.V. Semiconductor manufacturing system including deposition apparatus
JP6640608B2 (ja) * 2016-03-02 2020-02-05 東京エレクトロン株式会社 基板処理装置
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
WO2017209900A1 (en) * 2016-06-03 2017-12-07 Applied Materials, Inc. A vacuum platform with process chambers for removing carbon contaminants and surface oxide from semiconductor substrates
JP7190905B2 (ja) * 2016-06-03 2022-12-16 アプライド マテリアルズ インコーポレイテッド 半導体基板から炭素汚染物質及び表面酸化物を除去するための処理チャンバを有する真空プラットフォーム
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) * 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10396601B2 (en) * 2017-05-25 2019-08-27 Mks Instruments, Inc. Piecewise RF power systems and methods for supplying pre-distorted RF bias voltage signals to an electrode in a processing chamber
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
EP3711081B1 (en) 2017-11-17 2024-06-19 AES Global Holdings, Pte. Ltd. Spatial and temporal control of ion bias voltage for plasma processing
CN111788654B (zh) 2017-11-17 2023-04-14 先进工程解决方案全球控股私人有限公司 等离子体处理系统中的调制电源的改进应用
EP3711080B1 (en) 2017-11-17 2023-06-21 AES Global Holdings, Pte. Ltd. Synchronized pulsing of plasma processing source and substrate bias
TWI793218B (zh) * 2017-12-16 2023-02-21 美商應用材料股份有限公司 使用低頻偏壓作介電膜的幾何選擇性沉積的處理腔室及方法
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10555412B2 (en) 2018-05-10 2020-02-04 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator with a current-return output stage
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10770257B2 (en) * 2018-07-20 2020-09-08 Asm Ip Holding B.V. Substrate processing method
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US20200048770A1 (en) * 2018-08-07 2020-02-13 Lam Research Corporation Chemical vapor deposition tool for preventing or suppressing arcing
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
JP7105180B2 (ja) 2018-12-06 2022-07-22 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
KR102623545B1 (ko) * 2018-12-17 2024-01-10 삼성전자주식회사 반도체 소자 제조 장치
EP3900014A1 (en) * 2018-12-21 2021-10-27 Evatec AG Vacuum treatment apparatus and method for vacuum plasma treating at least one substrate or for manufacturing a substrate
JP7345382B2 (ja) 2018-12-28 2023-09-15 東京エレクトロン株式会社 プラズマ処理装置及び制御方法
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
CN113169026B (zh) 2019-01-22 2024-04-26 应用材料公司 用于控制脉冲电压波形的反馈回路
WO2021011450A1 (en) 2019-07-12 2021-01-21 Advanced Energy Industries, Inc. Bias supply with a single controlled switch
US11462389B2 (en) 2020-07-31 2022-10-04 Applied Materials, Inc. Pulsed-voltage hardware assembly for use in a plasma processing system
US11901157B2 (en) 2020-11-16 2024-02-13 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11798790B2 (en) 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
JP2022143281A (ja) * 2021-03-17 2022-10-03 キオクシア株式会社 基板処理装置及び基板の処理方法
US11863089B2 (en) 2021-03-26 2024-01-02 Applied Materials, Inc. Live measurement of high voltage power supply output
US11495470B1 (en) 2021-04-16 2022-11-08 Applied Materials, Inc. Method of enhancing etching selectivity using a pulsed plasma
US11948780B2 (en) 2021-05-12 2024-04-02 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11791138B2 (en) 2021-05-12 2023-10-17 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11967483B2 (en) 2021-06-02 2024-04-23 Applied Materials, Inc. Plasma excitation with ion energy control
US20220399185A1 (en) 2021-06-09 2022-12-15 Applied Materials, Inc. Plasma chamber and chamber component cleaning methods
US11810760B2 (en) 2021-06-16 2023-11-07 Applied Materials, Inc. Apparatus and method of ion current compensation
US11569066B2 (en) 2021-06-23 2023-01-31 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US11776788B2 (en) 2021-06-28 2023-10-03 Applied Materials, Inc. Pulsed voltage boost for substrate processing
US11694876B2 (en) 2021-12-08 2023-07-04 Applied Materials, Inc. Apparatus and method for delivering a plurality of waveform signals during plasma processing
US11670487B1 (en) 2022-01-26 2023-06-06 Advanced Energy Industries, Inc. Bias supply control and data processing
US11942309B2 (en) 2022-01-26 2024-03-26 Advanced Energy Industries, Inc. Bias supply with resonant switching
US11972924B2 (en) 2022-06-08 2024-04-30 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US11978613B2 (en) 2022-09-01 2024-05-07 Advanced Energy Industries, Inc. Transition control in a bias supply

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070181057A1 (en) * 2006-02-03 2007-08-09 Applied Materials, Inc. Epitaxial deposition process and apparatus
CN101515545A (zh) * 2008-02-18 2009-08-26 东京毅力科创株式会社 等离子体处理装置和等离子体处理方法
US20110240599A1 (en) * 2010-03-31 2011-10-06 Tokyo Electron Limited Plasma processing method and plasma processing apparatus

Family Cites Families (42)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3753092A (en) 1971-04-08 1973-08-14 Johanna Plastics Inc Liquid testing device for measuring changes in dielectric properties
JPS6196723A (ja) * 1984-10-17 1986-05-15 Agency Of Ind Science & Technol プラズマcvd装置
EP0202572B1 (en) * 1985-05-13 1993-12-15 Nippon Telegraph And Telephone Corporation Method for forming a planarized aluminium thin film
JPS62142767A (ja) * 1985-12-17 1987-06-26 Sharp Corp 電子写真感光体の製造方法
JPH02181926A (ja) * 1989-01-09 1990-07-16 Seiko Epson Corp プラズマ陽極酸化法
EP0395415B1 (en) * 1989-04-27 1995-03-15 Fujitsu Limited Apparatus for and method of processing a semiconductor device using microwave-generated plasma
JPH049465A (ja) * 1990-04-27 1992-01-14 Ube Ind Ltd 薄膜処理装置の直流電位制御方法および装置
US5662770A (en) * 1993-04-16 1997-09-02 Micron Technology, Inc. Method and apparatus for improving etch uniformity in remote source plasma reactors with powered wafer chucks
JPH08227874A (ja) * 1995-02-21 1996-09-03 Mitsubishi Electric Corp 真空処理装置および真空処理方法
JPH08255758A (ja) * 1995-03-15 1996-10-01 Toshiba Corp プラズマ気相成長装置
US5980999A (en) * 1995-08-24 1999-11-09 Nagoya University Method of manufacturing thin film and method for performing precise working by radical control and apparatus for carrying out such methods
US6356097B1 (en) * 1997-06-20 2002-03-12 Applied Materials, Inc. Capacitive probe for in situ measurement of wafer DC bias voltage
JP3658249B2 (ja) * 1998-07-31 2005-06-08 キヤノン株式会社 半導体層の製造方法、光起電力素子の製造方法及び半導体層の製造装置
US6287943B1 (en) * 1998-07-31 2001-09-11 Canon Kabushiki Kaisha Deposition of semiconductor layer by plasma process
US6430403B1 (en) * 1999-06-10 2002-08-06 Lucent Technologies Inc. Temperature compensated, zero bias RF detector circuit
JP2001023955A (ja) * 1999-07-07 2001-01-26 Mitsubishi Electric Corp プラズマ処理装置
JP3411539B2 (ja) * 2000-03-06 2003-06-03 株式会社日立製作所 プラズマ処理装置及びプラズマ処理方法
AU2001255481A1 (en) * 2000-04-20 2001-11-07 Paratek Microwave, Inc. Waveguide-finline tunable phase shifter
US6630201B2 (en) * 2001-04-05 2003-10-07 Angstron Systems, Inc. Adsorption process for atomic layer deposition
KR101001743B1 (ko) * 2003-11-17 2010-12-15 삼성전자주식회사 헬리컬 자기-공진 코일을 이용한 이온화 물리적 기상 증착장치
US7193173B2 (en) * 2004-06-30 2007-03-20 Lam Research Corporation Reducing plasma ignition pressure
JP2007096051A (ja) * 2005-09-29 2007-04-12 Samco Inc カソードカップリング型プラズマcvd装置及びそれによる薄膜製造方法
US7572737B1 (en) * 2006-06-30 2009-08-11 Lam Research Corporation Apparatus and methods for adjusting an edge ring potential substrate processing
US20080084650A1 (en) * 2006-10-04 2008-04-10 Applied Materials, Inc. Apparatus and method for substrate clamping in a plasma chamber
JP4943879B2 (ja) * 2007-01-31 2012-05-30 株式会社日立ハイテクノロジーズ プラズマ処理装置
CN101542694A (zh) * 2007-02-09 2009-09-23 佳能安内华股份有限公司 氧化方法和氧化装置
JP5199595B2 (ja) 2007-03-27 2013-05-15 東京エレクトロン株式会社 プラズマ処理装置及びそのクリーニング方法
EP2148939B1 (de) * 2007-05-25 2017-06-14 Oerlikon Surface Solutions AG, Pfäffikon Vakuumbehandlungsanlage und vakuumbehandlungsverfahren
US9123509B2 (en) * 2007-06-29 2015-09-01 Varian Semiconductor Equipment Associates, Inc. Techniques for plasma processing a substrate
US20090056877A1 (en) * 2007-08-31 2009-03-05 Tokyo Electron Limited Plasma processing apparatus
US9856558B2 (en) * 2008-03-14 2018-01-02 Applied Materials, Inc. Physical vapor deposition method with a source of isotropic ion velocity distribution at the wafer surface
EP2249372B1 (en) * 2008-03-20 2013-01-02 Ruhr-Universität Bochum Method for controlling ion energy in radio frequency plasmas
WO2010042860A2 (en) 2008-10-09 2010-04-15 Applied Materials, Inc. Rf return path for large plasma processing chamber
JP5390846B2 (ja) * 2008-12-09 2014-01-15 東京エレクトロン株式会社 プラズマエッチング装置及びプラズマクリーニング方法
US7749917B1 (en) * 2008-12-31 2010-07-06 Applied Materials, Inc. Dry cleaning of silicon surface for solar cell applications
JP5683822B2 (ja) * 2009-03-06 2015-03-11 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理装置用の電極
US8771538B2 (en) 2009-11-18 2014-07-08 Applied Materials, Inc. Plasma source design
JP2011162830A (ja) * 2010-02-09 2011-08-25 Fuji Electric Co Ltd プラズマcvdによる成膜方法、成膜済基板および成膜装置
JP2011238747A (ja) * 2010-05-10 2011-11-24 Shimadzu Corp プラズマcvd成膜装置および高周波電圧の印加方法
TWI470776B (zh) * 2011-12-29 2015-01-21 Ind Tech Res Inst 光偵測陣列結構與光偵測模組
US9881772B2 (en) * 2012-03-28 2018-01-30 Lam Research Corporation Multi-radiofrequency impedance control for plasma uniformity tuning
KR102133895B1 (ko) * 2013-11-06 2020-07-15 어플라이드 머티어리얼스, 인코포레이티드 Dc 바이어스 변조에 의한 입자 발생 억제기

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070181057A1 (en) * 2006-02-03 2007-08-09 Applied Materials, Inc. Epitaxial deposition process and apparatus
CN101515545A (zh) * 2008-02-18 2009-08-26 东京毅力科创株式会社 等离子体处理装置和等离子体处理方法
US20110240599A1 (en) * 2010-03-31 2011-10-06 Tokyo Electron Limited Plasma processing method and plasma processing apparatus

Also Published As

Publication number Publication date
US20170148611A1 (en) 2017-05-25
US10504697B2 (en) 2019-12-10
KR102152811B1 (ko) 2020-09-07
CN108922844A (zh) 2018-11-30
KR20160083913A (ko) 2016-07-12
WO2015069428A1 (en) 2015-05-14
TWI688312B (zh) 2020-03-11
JP6651581B2 (ja) 2020-02-19
US9593421B2 (en) 2017-03-14
JP2019024090A (ja) 2019-02-14
TW201826886A (zh) 2018-07-16
TWI610360B (zh) 2018-01-01
US20150123541A1 (en) 2015-05-07
KR20180088496A (ko) 2018-08-03
CN109166782A (zh) 2019-01-08
TW201907755A (zh) 2019-02-16
CN109166782B (zh) 2020-08-07
KR102133895B1 (ko) 2020-07-15
US20170236689A1 (en) 2017-08-17
TWI694749B (zh) 2020-05-21
CN105793955B (zh) 2019-09-13
TW201530651A (zh) 2015-08-01
US9892888B2 (en) 2018-02-13
JP2017504955A (ja) 2017-02-09

Similar Documents

Publication Publication Date Title
CN105793955A (zh) 通过dc偏压调制的颗粒产生抑制器
US20230317412A1 (en) Pulsed plasma chamber in dual chamber configuration
TWI701705B (zh) 電漿處理裝置及電漿處理方法
TWI505354B (zh) Dry etching apparatus and dry etching method
US20150243486A1 (en) Plasma processing apparatus
US9390935B2 (en) Etching method
US20130045604A1 (en) Plasma processing apparatus and plasma processing method
KR102586592B1 (ko) 고온 rf 가열기 페디스털들
CN109559968B (zh) 等离子体处理装置
US11532484B2 (en) Plasma processing apparatus and plasma processing method
JP2016031955A (ja) プラズマ処理装置およびプラズマ処理方法
JP2016143616A (ja) プラズマ処理装置
JP2013229150A (ja) プラズマ処理装置

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20190913

Termination date: 20211015