TWI608544B - 處理晶圓的方法 - Google Patents

處理晶圓的方法 Download PDF

Info

Publication number
TWI608544B
TWI608544B TW105129203A TW105129203A TWI608544B TW I608544 B TWI608544 B TW I608544B TW 105129203 A TW105129203 A TW 105129203A TW 105129203 A TW105129203 A TW 105129203A TW I608544 B TWI608544 B TW I608544B
Authority
TW
Taiwan
Prior art keywords
chamber
wafer
plasma
period
power source
Prior art date
Application number
TW105129203A
Other languages
English (en)
Other versions
TW201701355A (zh
Inventor
瑪瑞卡塔諾艾力西
漢沙羅金德
哈得森艾瑞克
D 貝利三世安祖
Original Assignee
蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 蘭姆研究公司 filed Critical 蘭姆研究公司
Publication of TW201701355A publication Critical patent/TW201701355A/zh
Application granted granted Critical
Publication of TWI608544B publication Critical patent/TWI608544B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32146Amplitude modulation, includes pulsing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32816Pressure
    • H01J37/32825Working under atmospheric pressure or higher
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3341Reactive etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Description

處理晶圓的方法
本發明係關於半導體裝置之介電質蝕刻的方法、系統、以及電腦程式,尤其係關於雙模組電容耦合電漿(CCP,capacitively-coupled plasma)腔室中之半導體裝置之介電質蝕刻的方法、系統、以及電腦程式。 〔相關案件交互參照〕
本申請案係關於:美國專利申請案第12/850,552號,申請於2010年8月4日,標題為「Plasma Processing Chamber with Dual Axial Gas Injection and Exhaust」;美國專利申請案第12/850,559號,申請於2010年8月4日,標題為「Dual Plasma Volume Processing Apparatus for Neutral/Ion Flux Control」;美國專利申請案第13/188,421號,申請於2011年7月21日,標題為「Negative Ion Control For Dielectric Etch」,該等申請案全部藉由參考文獻方式合併於此。
積體電路的製造包含將含有摻雜矽之區域的矽基板(晶圓)浸入化學反應性電漿,於此處,將次微米裝置特徵部(例如電晶體、電容等等)蝕刻到表面上。一旦製造出第一層後,即在第一層的頂部上建立數個絕緣(介電)層,於此處,為了導電互連體的放置而將孔洞(又稱為穿孔)與溝渠蝕刻到此材料內。
SiO2 係一般用於半導體製造的介電質。用於SiO2 蝕刻的電漿通常包含氟碳化合物氣體,例如四氟化碳(CF4 )以及八氟環丁烷(C-C4 F8 ),並伴隨氬(Ar)與氧(O2 )氣體。電漿一詞係用以指其內之組成原子與分子已部分或全部被游離化的氣體。電容射頻(RF)功率耦合通常用於觸發與維持電漿,因為所獲得的低分解率有利於在表面的較大鈍化分子與較高離子能量。為了獲得到矽基板之離子能量與離子通量的獨立控制,有時會使用雙頻率電容放電(DF-CCP)。
現今用於半導體晶圓製造的電漿處理系統係仰賴高互賴(interdependent)控制參數來控制自由基分離、輸送至晶圓的自由基通量、離子能量、以及離子通量。例如,現今的電漿處理系統係嘗試藉由控制在晶圓存在的情況下所產生的單一電漿來達到必要的自由基分離、自由基通量、離子能量、以及離子通量。不幸地,化學品分解與自由基形成係與離子生成及電漿密度有所關聯,並且通常無法協力達到期望的電漿處理條件。
某種半導體處理設備係使用脈衝RF電源。當關閉電漿時,現今的脈衝RF電漿技術無法提供在RF關閉週期期間之餘輝(afterglow)電漿的控制。一般而言,在RF關閉週期期間,電漿電位會衰退,而電子會脫逃至腔室的壁上。在餘輝期間,電子密度會下降,而負離子密度會增加。然後離子也會脫逃至壁上。帶電物種動力作用決定了腔室內部的電荷分佈,並因而決定了其蝕刻特性,然而不幸地,這些帶電物種的動力作用與通量大多不受控制。在餘輝週期間僅可控制頻率的調控以及工作循環。
伴隨脈衝電漿技術的另一個問題為當開啟RF功率時的電漿再激發。若電漿與餘輝在RF關閉週期期間完全熄滅,則再觸發電漿需要高RF電壓等級。又,RF問題亦可能存在困擾,尤其係當在低氣體壓力下操作時。
此即為產生實施例的背景。
本發明之實施例提供用以處理雙腔室結構中之脈衝電漿腔室內之半導體基板的系統、方法、以及電腦程式。
吾人應明白本發明可利用許多方式加以實現,例如製程、設備、系統、裝置或電腦可讀取媒體上的方法。以下說明本發明之數個發明實施例。
在一實施例中,一種晶圓處理設備,其具有由一板所隔開的一頂部腔室與一底部腔室,此板使此頂部腔室流體連接至此底部腔室,此設備包含:一連續波(CW,continuous wave)控制器、一脈衝控制器、以及一系統控制器。此CW控制器用以設定一第一射頻(RF,radio frequency)電源的電壓與頻率,第一射頻電源係耦合至此頂部腔室中的一頂部電極。此脈衝控制器用以設定由一第二RF電源所產生之一脈衝RF信號的電壓、頻率、開啟週期之持續時間、以及關閉週期之持續時間,第二RF電源係耦合至此底部腔室中的一底部電極。又,此系統控制器用以設定此CW控制器與此脈衝控制器的參數,以在該等腔室的操作期間調節從此頂部腔室通過此板而到此底部腔室之物種的流動。此物種的流動在關閉週期內之餘輝期間協助負離子密度的控制,並且在開啟週期期間協助此底部腔室中的電漿再觸發。
在另一實施例中,一種在晶圓處理設備中處理晶圓的方法,此設備具有由一板所隔開的一頂部腔室與一底部腔室,此板使此頂部腔室流體連接至此底部腔室,此方法包含下列操作:設定由一第一RF電源所產生之一連續射頻(RF)信號的第一參數,第一RF電源係耦合至此頂部腔室中的一頂部電極。第一參數包含第一電壓與第一頻率。又,此方法包含下列操作:設定由一第二RF電源所產生之一脈衝RF信號的第二參數,第二RF電源係耦合至此底部腔室中的一底部電極。第二參數包含第二電壓、第二頻率、開啟週期之持續時間、以及關閉週期之持續時間。將此連續RF信號施加至此頂部電極,以及將此脈衝RF信號施加至此底部電極。設定第一參數與第二參數,以在該等腔室之操作期間調節從此頂部腔室到此底部腔室之物種的流動。此物種的流動在關閉週期內之餘輝期間協助負離子密度的控制,並且在開啟週期期間協助此底部腔室中的電漿再觸發。
在又另一實施例中,一種晶圓處理設備,其具有由一板所隔開的一頂部腔室與一底部腔室,此板使此頂部腔室流體連接至此底部腔室,此設備包含:一CW控制器、一脈衝控制器、以及一系統控制器。此CW控制器用以設定一第一射頻(RF)電源的第一參數,第一射頻電源係耦合至此頂部腔室中的一頂部電極,以及此脈衝控制器用以設定由一第二RF電源所產生之一第二脈衝RF信號的第二參數,第二RF電源係耦合至此底部腔室中的一底部電極。此脈衝控制器進一步用以設定由一第三RF電源所產生之一第三脈衝RF信號的第三參數,第三RF電源係耦合至此底部電極。此外,此系統控制器用以傳送第一、第二、以及第三參數,以在該等腔室的操作期間調節從此頂部腔室通過此板而到此底部腔室之物種的流動。此物種的流動在關閉週期內之餘輝期間協助負離子密度的控制,並且在開啟週期期間協助此底部腔室中的電漿再觸發。
吾人可從下列與隨附圖式相結合的詳細說明內容而明白其他實施態樣。
下列實施例提供用以處理雙腔室結構中之脈衝電漿腔室內之半導體基板的系統、方法、以及電腦程式。吾人可明白在不具有某些或所有具體細節的情況下仍可實施本發明實施例。在其他情況下,為了不對本發明實施例造成非必要的混淆,已不詳細敘述為人所熟知的製程操作。
依照一實施例,圖1顯示蝕刻腔室。激發兩電極之間的電場係屬於在蝕刻腔室內獲得RF氣體放電的其中一種方法。當在電極之間施加振盪電壓時,則將所獲得的放電稱為電容耦合電漿(CCP,capacitive coupled plasma)放電。
吾人可利用安定的進料氣體來產生電漿,以獲得各式各樣化學反應性副產物,這些化學反應性副產物係藉由因電子-中性粒子碰撞(electron-neutral collisions)所引起之各種分子的分解而產生。蝕刻的化學形式包含中性氣體分子及其分解之副產物與待蝕刻表面之分子的反應、以及產生揮發性分子,此揮發性分子可被抽離。當產生電漿時,正離子被加速而從電漿穿過分隔電漿與壁的空間電荷鞘,而以足夠能量打擊晶圓表面,以從晶圓的表面移除材料。此稱為離子轟擊或離子濺射。然而,某些工業用電漿並不產生具有足夠能量的離子而可藉由純物理方式有效蝕刻表面。吾人已證明中性氣體蝕刻與離子轟擊兩者的結合動作會產生比單純將各個方法之效果相加更快的蝕刻速率。
在一實施例中,例如CF4 與C-C4 F8 的氟碳化合物氣體,就其異向性與選擇性蝕刻能力而被使用於介電質蝕刻製程,但本發明之原理可應用於其他電漿產生氣體。氟碳化合物氣體輕易分解成更小的分子與原子自由基。這些化學反應性副產物會蝕刻掉介電材料,在一實施例中,此介電材料可為用於低介電常數裝置的SiO2 或SiOCH。
圖1之腔室包含接地的頂部電極104、以及藉由低頻RF產生器118與高頻RF產生器116供電的底部電極108。底部電極108係經由匹配網路114而連接至低頻RF產生器118與高頻RF產生器116。在一實施例中,低頻RF產生器118具有2 MHz的RF頻率,而高頻RF產生器116具有27 MHz的頻率。
圖1之腔室包含氣體噴淋頭、以及多孔侷限環112,此氣體噴淋頭係位於頂部電極104上並用以將氣體輸入此腔室,此多孔侷限環允許氣體被抽離此腔室。當基板106存在於此腔室內時,矽聚焦環110係緊鄰著此基板,以使均勻的RF場存在於電漿102的底表面,以在晶圓的表面上進行均勻蝕刻。
在一實施例中,低頻RF產生器118產生脈衝,而高頻RF產生器116不產生脈衝。在另一實施例中,兩RF產生器皆產生脈衝;以及在又另一實施例中,高頻RF產生器116產生脈衝,而低頻RF產生器118不產生脈衝,即,在處理晶圓同時,一直開啟低頻RF產生器118。
依照一實施例,圖2顯示脈衝電漿腔室的特性。與圖1相關的脈衝電漿腔室包含一脈衝RF電源。圖表202顯示此RF電源的電壓,其包含當開啟此RF電源時的開啟(ON)週期、以及當關閉此RF電源時的關閉(OFF)週期。圖表204顯示此RF電源的功率具有兩個等級,在開啟週期期間的等級為大於零,而在關閉週期期間的另一等級則為等於0,即關閉此RF電源。
開啟週期具有兩個相位:當激發(即,開啟)電漿時的第一相位、以及當電漿存在於此腔室內時對應於穩態的第二相位。在此開啟相位中,電漿鞘隨著電漿激發而逐漸形成並且變化。存在有較大的電子平均能量、以及低的離子通量密度。此外,由於在激發電漿時改變了電漿阻抗,所以在此開啟相位中並未妥善地將功率進行匹配。在此穩態相位中,電子密度係幾乎固定,並且存在有大的正離子通量密度。功率妥善地與幾乎固定的電漿阻抗進行匹配,以及電漿層(plasma sheets)以穩定方式進行振盪。
關閉週期具有兩個相位:當關閉電漿時的第一相位、以及稱為「餘輝末期(late afterglow)」的第二相位。在此關閉相位中,存在有銳減的電子平均能量、銳降的離子通量密度、以及逐漸減少的電漿電位。電漿鞘隨著電子密度衰減而瓦解。在此餘輝末期相位中,功率位準為零並伴隨小的電子平均能量。亦存在有小的離子通量密度,且負離子可到達此腔室的表面。電漿鞘隨著電子密度衰減而瓦解。
圖表206顯示在開啟與關閉週期期間的電子能量變化。在開啟週期內,電子能量係高的,而在關閉週期內,電子能量減少至零。圖表208顯示電子密度220、以及正離子密度222。正離子密度222在開啟週期期間係高的,而在關閉週期內減少。因此,使用正離子的蝕刻主要係發生在開啟週期期間。
圖表210顯示電漿電位對時間的演變。如上所述,電漿電位在此開啟相位開始時形成波峰,然後達到穩定值。在此關閉相位中,電漿電位衰減直到電漿電位達到零的數值為止。圖表212顯示正離子通量的值,其在開啟週期期間幾乎為固定,而在關閉週期內則快速衰減至0。
此外,圖表214顯示負離子通量對時間的值。負離子通量在開啟週期期間實質上為0,但負離子通量在關閉週期內具有正波峰,此在關閉週期期間造成以負離子蝕刻晶圓以及中和晶圓表面上的過多正電荷。
相較於非脈衝RF電源,使RF電源產生脈衝可促進蝕刻性能,因為可降低形成溝渠、形成切口以及進行充電的損傷。在連續放電期間,電荷可能會累積在井的頂部與底部之間,此可能會造成離子偏向。在脈衝放電中可降低此電荷累積,因為餘輝狀態中的低電子密度允許更多的負離子與電子被吸引至井底部,以中和可能已累積在井之底部的正電荷。
依照一實施例,圖3顯示RF功率頻率與腔室壓力對電漿密度的影響。圖3顯示在不同的RF功率頻率與腔室內之壓力的條件下於非脈衝電漿腔室所取得之若干量測值。在具有氧與5%氬的腔室內,以單一RF電源於400 W下進行這些量測。
線302標出在不同壓力等級下並具有2 MHz之RF頻率之正離子通量的值。隨著腔室內的壓力增加,在大約300 mTorr處,正離子通量約增加到最大正離子通量。因此,對於低RF頻率(2 MHz)而言,此腔室在高壓下較為有效。線306標出當RF頻率為27 MHz時的量。在此情況下,通量逐漸增加直到壓力約為100 mTorr為止,然後實質上維持固定。線304標出當使用60 MHz之RF頻率時之通量的值。在此種高頻率之下,此腔室在低壓下較為有效率,並且隨著壓力增加而變得較為無效率。
一般而言,當使用脈衝RF功率時,較期望在低壓下操作此腔室,如此可使離子深入到孔洞中。然而,在低壓電漿腔室中相當難以觸發電漿,因為在低壓下進行操作的時候比在高壓下進行操作的時候存在更少的電子。
本發明之實施例係利用雙腔室結構,其中在底部腔室產生脈衝,而不在頂部腔室產生脈衝。如以下參考圖4-6所詳述,兩腔室係由多孔柵加以隔開,此多孔柵提供物種從頂部腔室到底部腔室的流動。電子脫離頂部電漿並且流到底部腔室以協助電漿的再觸發。由於在底部腔室中存在有較多的電子,所以更易於再觸發電漿。因此,雙腔室的使用可促成同時具有低壓腔室以及脈衝RF電源。
依照本發明之一實施例,圖4顯示具有兩腔室的半導體晶圓處理設備。此半導體晶圓處理設備包含雙容積電漿源。頂部腔室414為連續波(CW,Continuous Wave)自由基控制電漿(RCP,Radical Control Plasma)腔室,其係藉由多孔接地電極424(在此亦稱為板或柵)而與下部脈衝RF電容電漿腔室420隔開。此下部容積為脈衝CCP電漿腔室,而此上部容積則係作為注入此下部容積之自由基、電子、離子的來源。
在脈衝下部腔室RF之關閉週期內的餘輝期間,此下部腔室中之中性粒子與帶電物種的通量可藉由調整此頂部腔室中的參數而受到控制。擁有從RCP頂部腔室流至下部腔室的帶電物種亦可助於在RF開啟週期期間之底部電漿418的再觸發。在一實施例中,餘輝與再觸發係藉由在此上部腔室中使用不同的電漿源而受到控制。例如,在此頂部腔室中使用感應耦合或螺旋波電漿。
由於上部電漿416來源提供了在底部腔室中之電漿餘輝控制,並且提供了用以蝕刻基板422的帶電物種動力作用控制,所以此種結構改善了腔室的性能。再者,在頂部腔室中的RCP係藉由提供用以觸發CCP電漿的初始帶電物種而在CCP底部腔室中協助電漿418的再觸發。
在單容積脈衝腔室中,負離子蝕刻的唯一控制為開啟與關閉週期循環。在雙容積腔室中,由於上部腔室一直開啟,所以即使係在底部腔室的關閉週期內,仍存在有來自頂部腔室的固定物種流動。因此,吾人可控制在開啟與關閉兩週期內的蝕刻處理。來自頂部電漿416的電子通過用以連接頂部腔室與底部腔室的穿孔426,這些電子係攜帶能量。因此,穿孔426會形成進入底部腔室的電子束。
在一實施例中,底部腔室420係藉由第一脈衝RF電源406以及第二脈衝RF電源410供電。這些RF電源係經由各別的RF匹配408與412而連接到腔室420中的底部電極。頂部腔室414中的頂部電極係經由匹配網路404而連接到第三RF電源402。在一實施例中,第三RF電源402將連續波RF功率提供到頂部腔室414。
脈衝控制器430控制藉由第一脈衝RF電源406及第二脈衝RF電源410所產生之RF功率的參數。這些控制第一與第二RF電源的參數包含RF電源的脈衝循環(即,開啟與關閉週期的持續時間)、第一與第二RF電源的頻率、電壓、以及功率等級。藉由控制RF電源的參數,此系統可控制例如在底部腔室中的RF關閉週期以及電漿餘輝。此種RF參數的控制亦能夠使此系統控制來自頂部腔室之中性粒子與帶電物種的通量。擁有從頂部腔室移動到下部腔室的帶電物種亦可助於在RF開啟週期開始時的電漿再觸發,此係指比具有相同RF功率之單腔室裝置之情況更快的電漿再觸發。
連續波控制器428控制由第三RF電源402所產生的RF功率。因此,連續波控制器(CW控制器)428控制頂部腔室上之RF功率的參數,其包含頻率、電壓、以及功率。系統控制器432係與脈衝控制器430以及連續波控制器428通信,以及系統控制器432設定頂部與底部腔室中之RF功率的控制參數。藉由控制此CW控制器與此脈衝控制器的參數,系統控制器432可用以在該等腔室操作期間調節物種從頂部腔室穿過板到底部腔室的流動,於此處的物種流動可在關閉週期內的餘輝期間協助負離子蝕刻以及晶圓表面上之過多正電荷的中和,以及在開啟週期期間協助底部腔室中之電漿的再觸發。系統控制器432具有作為輸入的電漿配方設定434。電漿配方設定434包含這三個RF電源的參數,其包含頻率、電壓、功率、開啟/關閉循環、以及與該等腔室之操作相關的其他參數。此電漿配方設定中的其他數值可包含用以分隔這些腔室之板的結構(例如穿孔的數量、板的厚度、穿孔的分佈等等)、頂部腔室內的壓力、底部腔室內的壓力、蝕刻循環的持續時間、進入這些腔室的氣體流量等等。
除了控制RF電源以外,系統控制器432亦可用以控制此腔室的其他參數,例如頂部腔室內的壓力、底部腔室內的壓力、以及位於頂部與底部腔室間之板424的結構。
頂部與底部腔室具有進入各別腔室之氣體流量的獨立控制。在頂部腔室中存在有個別的氣體來源入口。柵424亦包含形成朝向底部腔室之氣體噴淋頭的氣體出口。柵424具有為絕緣體介電質(例如氧化鋁)的外表面。在一實施例中,柵424係由鋁所製成並且塗佈有氧化鋁。在另一實施例中,此柵為27 mm厚(即,穿孔具有27 mm的長度),而穿孔具有2 mm的直徑,然而其他數值亦可行。
擁有雙容積可在關閉週期期間增加底部腔室內之負離子的量,此可改善使用負離子的蝕刻。當來自頂部腔室的電子到達底部腔室時,在關閉週期期間,電子會附在離子上並且在此腔室中產生更多的負離子。
頂部腔室存在有數個影響底部腔室性能的參數。第一,為頂部腔室內的電子密度。此密度愈高,則電子移動到底部腔室的數量就愈多。第二,為頂部腔室之鞘上的電壓,此界定某些電子(例如二次電子)的能量。第三,為此腔室內的壓力。頂部腔室內的壓力愈高,則將移動到底部腔室的粒子(電子、離子)就愈多。第四,為板424的厚度以及穿孔426的密度。此板的厚度愈大,則將移動到底部腔室之物種的數量就愈少。此外,穿孔426的密度愈高,則將移動到底部的物種就愈多。
圖4之實施例包含具有2 MHz的第一脈衝RF電源406以及具有27 MHz的第二脈衝RF電源410。這些RF電源係經由各別的RF匹配408與412而連接到腔室420中的底部電極。頂部腔室414中的頂部電極係經由匹配網路404而連接到第三RF電源402。第三RF電源402不產生脈衝。
吾人可注意到圖4所示之實施例係為示範。其他實施例可使用不同類型的腔室、不同的頻率、在底部腔室中的僅一個RF電源、不同尺寸的分隔板、不同的頂部與底部腔室內之壓力等等。例如,在一實施例中,頂部腔室為CCP電漿腔室。再者,在此半導體晶圓處理設備中的上述某些模組可結合成單一模組,或者單一模組的功能性可由複數模組加以執行。例如,在一實施例中,連續波控制器428與脈衝控制器430係整合在系統控制器432內,然而其他結構亦可行。因此,不應將圖4所示之實施例解釋為排除或限制,而係應為示範或例示。
在一實施例中,頂部電極係連接到具有27 MHz的RF電源,而底部腔室中的底部電極係連接到具有2 MHz的RF電源。在另一實施例中,頂部腔室內的壓力具有介於20 mTorr與60 mTorr之間的值,而底部腔室內的壓力則具有介於10 mTorr與19 mTorr之間的值。
在又另一實施例中,頂部腔室具有單一RF電源,此電源具有介於27 MHz與100 MHz之間的頻率;以及底部腔室具有單一RF電源,此電源具有介於0.4 MHz與25 MHz之間的頻率。此頂部電源的電壓可在數百伏特的範圍內(例如100 V到2000 V以上)。此底部RF電源可具有上至6000 V以上的電壓。在一實施例中,此電壓為1000 V。在另一實施例中,此頂部RF電源的電壓具有介於100 V與600 V之間的值,而此底部RF電源的電壓則具有介於1000 V與6000 V之間的值。
頂部腔室與底部腔室內的壓力可具有介於10 mTorr到500 mTorr的值。在一實施例中,頂部腔室係在20 mTorr的壓力下操作,而底部腔室係在15 mTorr下操作。
依照本發明之一實施例,圖5顯示一半導體晶圓處理設備。此設備包含由頂板500A、底板500B、以及壁500C所形成的腔室500。在一實施例中,壁500C形成相連的圓柱形壁500C。在其他實施例中,壁500C可具有其他結構,只要腔室500的內部空腔500D能夠與在腔室500之外的外部環境隔離即可。在各種實施例中,腔室500的頂板500A、底板500B、以及壁500C可由金屬所形成,其為電與熱的良好導體並且與處理氣體具有化學相容性,在晶圓處理期間,內部空腔500D係曝露於此處理氣體。舉例來說,在各種實施例中,例如鋁、不銹鋼等等的金屬可用以形成腔室500的構件。
包含頂板500A、底板500B以及壁500C之腔室500的結構係由導電材料所形成,並且電性連接至參考接地電位。腔室500包含排放口535,其提供內部空腔500D到外部排放幫浦537的流體連接,以便透過排放口535施加負壓力,而從內部空腔500D內去除氣體與微粒。在各種實施例中,排放幫浦537可以不同方式加以實現,只要排放幫浦537能夠在排放口535施加吸力而將流體流引出腔室500的內部空腔500D即可。
雙電漿處理設備係配置在腔室500的內部空腔500D內。此雙電漿處理設備包含上部電漿腔室512,其包含上部電漿產生容積503。此雙電漿處理設備亦包含下部電漿腔室514,其包含下部電漿產生容積509。上部與下部電漿腔室512與514係藉由氣體分佈單元515而產生實體與流體上的連接,此氣體分佈單元的配置係為了隔開上部與下部電漿產生容積503與509。
上部電漿腔室512係部分由外結構部504所形成,該外結構部504乃界定於繞著上部電漿腔室512之周邊並且與頂板500A連接。上部電漿腔室512亦包含噴淋頭電極501,此噴淋頭電極係配置在外結構部504內的上部電漿產生容積503上方。
在操作期間,射頻(RF)功率係從RF電源505傳輸至噴淋頭電極501。在一實施例中,將RF電源505界定為以多頻率來提供RF功率。在一實施例中,RF電源505的頻率係設定在從5 kHz延伸到500 MHz的範圍內。在另一實施例中,RF電源505的頻率係設定在從400 kHz延伸到60 MHz的範圍內。
此外,在一實施例中,噴淋頭電極501係連接至DC偏壓源520,俾能控制上部電漿產生容積503內的電漿電位,而無關於電漿密度。將DC偏壓源520界定為以從接地往上延伸的各種電壓設定值來控制噴淋頭電極501的偏壓。在一實施例中,可將噴淋頭電極501的DC偏壓源520界定為以脈衝方式操作,以使上部電漿產生容積503內的電漿與下部電漿產生容積509內的電漿同步化。更具體而言,DC偏壓源520的此種脈衝控制可用以控制上部與下部電漿產生容積503與509內之電漿間的時間相依電壓差。
將每一個穿孔517界定為穿過氣體分佈單元515的上表面而產生開放流體連通。然而,氣體供應口519在流體上並未穿過氣體分佈單元515的上表面而露出。因此,界定氣體供應口519以使電漿處理氣體僅流入下部電漿產生容積509。相較之下,將穿孔517界定為能夠在上部與下部電漿產生容積503與509之間進行流體連通。穿過氣體分佈單元515之穿孔517的流體流動可藉由上部電漿產生容積503與下部電漿產生容積509之間的壓力差加以控制。
吾人應瞭解氣體分佈單元515可作為RF返回路徑電極、電漿處理氣體歧管、流體流動擋板、以及離子過濾器。在各種實施例中,氣體分佈單元515可由金屬所形成,例如鋁、不銹鋼、矽、碳化矽、氧化矽、氧化釔,此金屬為電與熱的良好導體並且與將於上部及下部電漿產生容積503及509中實施之處理具有化學相容性;或者由實質任何其他材料所形成,此材料對電漿處理(此材料係曝露於此電漿處理)提供足夠的電漿阻抗、電傳導、以及熱傳導。
在各種實施例中,氣體分佈單元515係連接至其各自之DC偏壓源524以及RF電源522,俾可對RF電源505與511提供適當的接地返回路徑,並且同時亦提供用以影響在上部電漿產生容積503中所產生之離子的適當偏壓。亦可將RF電源522界定為以多頻率來提供RF功率。此外,在一實施例中,電極530係埋設在氣體分佈單元515內並且連接至DC偏壓源524,以提供用以影響在上部電漿產生容積503中所產生之離子的偏壓。在一實施例中,將氣體分佈單元515內的埋設電極530界定為圍繞著穿孔517,以使施加至埋設電極530的偏壓可用以讓通過穿孔517的離子加速或減速。又,在一實施例中,將氣體分佈單元515內的埋設電極530界定為位於多個分離的可控制區域中,而每一個區域係連接至其各自之DC偏壓源524。此實施例可進行遍佈氣體分佈單元515的獨立區域偏壓,以提供遍佈氣體分佈單元515的獨立區域離子控制。
在一實施例中,曝露於上部或下部電漿產生容積503及509中之電漿的氣體分佈單元515之部分係受到耐電漿材料的覆蓋層所保護。在一實施例中,此耐電漿材料係形成如塗膜。在另一實施例中,此耐電漿材料係形成如保護結構,例如板,其保形地覆蓋氣體分佈單元515。在這些實施例的任一者中,此耐電漿材料係固定於氣體分佈單元515,以確保在此耐電漿材料與氣體分佈單元515之間的足夠電與熱傳導。在耐電漿保護結構的實施例中,保護結構可藉由上部與下部電漿產生容積503與509之間的壓力差、藉由若干扣合件、或藉由其組合而固定於氣體分佈單元515。在各種實施例中,用以保護氣體分佈單元515的耐電漿塗膜、保護結構可由矽、碳化矽、氧化矽、氧化釔所形成,或由實質任何其他材料所形成,此材料對電漿處理(此材料係曝露於此電漿處理)提供足夠的電漿阻抗、電傳導、以及熱傳導。
每一氣體供應口519與穿孔517均界定為可使通過之流體流動最佳化,並且同時防止電漿不利地侵入至其內。通過氣體供應口519與穿孔517每一者的流體流動與電漿侵入係與其尺寸成正比。因此,必須界定氣體供應口519與穿孔517每一者,以使其尺寸夠小到足以防止電漿不利地侵入至其內,並且同時維持夠大到足以提供適當之流體通過。在各種實施例中,氣體供應口519的直徑尺寸係在從約0.1 mm延伸到約3 mm的範圍內。在各種實施例中,穿孔517的直徑尺寸係在從約0.5 mm延伸到約5 mm的範圍內。然而,吾人應瞭解在各種實施例中,氣體供應口519與穿孔517可被各別界定而具有實質任何直徑尺寸,只要此直徑尺寸提供對通過該處的足夠流體流動並且同時提供對電漿侵入其內的足夠抑制即可。
夾頭507係配置在腔室500的內部空腔500D內並且位於下部電漿產生容積509的下方。在一實施例中,夾頭507係懸掛於腔室500的壁500C。在一實施例中,夾頭507為一靜電夾頭並且提供用以將RF功率傳輸至下部電漿產生容積509的電極。夾頭507被界定為在曝露於下部電漿產生容積509時固持基板513,即晶圓513。在一實施例中,晶圓邊緣環549係配置在夾頭507上並且位於夾頭507上之基板513的固持區域周邊。在各種實施例中,此晶圓邊緣環係由石英或矽所形成。
吾人可藉由控制夾頭507的垂直位置而設定並控制與夾頭507及氣體分佈單元515兩者正交而於橫跨下部電漿產生容積509所量測出的垂直距離。橫跨下部電漿產生容積509的垂直距離可被設定來達成充分的中心到邊緣電漿均勻度與密度,並且亦可被設定來避免從氣體供應口519與穿孔517流出之氣體的噴流打在晶圓513上。在各種實施例中,橫跨下部電漿產生容積509的垂直距離可被設定在從約1 cm延伸到約5 cm的範圍內,或設定在從約2 cm延伸到約3.6 cm的範圍內。
進一步將夾頭507界定為從RF電源511將RF功率供應到下部電漿產生容積509,以使夾頭507作為用於下部電漿產生容積509的電極。吾人應瞭解下部電漿腔室的RF電源511係與上部電漿腔室的RF電源505獨立分開。因此,吾人可獨立分開控制施加至上部與下部電漿產生容積503與509的RF功率。
在一實施例中,RF電源511以開啟循環與關閉循環來提供脈衝RF功率。在另一實施例中,RF電源511以兩種不同頻率來提供RF功率,於此處,兩頻率的RF功率係產生脈衝。在另一實施例中,具有第一頻率的一RF功率係產生脈衝,而具有第二頻率的另一RF功率則係不產生脈衝。脈衝控制器430係耦合至RF電源511,且脈衝控制器430設定RF電源511所提供之RF功率的參數。這些參數包含電源511所產生之RF功率的頻率、功率、以及開啟/關閉工作循環。此外,連續波控制器428係耦合至RF電源505,且連續波控制器428設定RF電源505所產生之RF功率的參數,其包含在頂部腔室中所產生之RF功率的頻率與功率。
在一實施例中,將RF電源511界定為提供RF功率與多頻率。例如,可將RF電源511界定為以2 MHz、27 MHz、以及60 MHz的頻率來提供RF功率。吾人應瞭解上部與下部電漿腔室512與514的RF電源505與511每一者係分別透過其各自之匹配網路而連接,俾能將RF功率分別傳輸至噴淋頭電極501以及夾頭507。如上所述,在一實施例中,氣體分佈單元515作為在上部與下部電漿產生容積503與509兩者之RF功率返回路徑中的參考接地電極。
將上部電漿腔室界定為包含排放通道525,上部電漿產生容積503內的氣體係透過此排放通道而排放到腔室500的內部空腔500D內。將壓力調節環527界定為在排放通道525內移動,以調節從上部電漿產生容積503通過排放通道525而到腔室500之內部空腔500D的流體流動(即,氣體流動)。在一實施例中,將壓力調節環527界定為在位於上部電漿腔室512之外結構部504內的保形界定凹陷區域內垂直移動。在此實施例中,可使壓力調節環527以受控制的方式往下移動到排放通道525內,以減少通過排放通道525的流動區域並藉以調節來自上部電漿產生容積503的流體流動。在一實施例中,將壓力調節環527界定為能夠完全關閉從上部電漿產生容積503通過排放通道525而到腔室500之內部空腔500D內的流動。
吾人應瞭解圖5所示之壓力調節環527的結構為其實現的一示範實施例。在其他實施例中,吾人可以不同方式來實現壓力調節環527,只要壓力調節環527提供通過排放通道525之流體流動的控制即可。將下部電漿腔室界定為包含狹槽排放通道組529,下部電漿產生容積509內的氣體係透過此排放通道組而排放到腔室500的內部空腔500D內。
將壓力控制環531界定為朝向與遠離狹槽排放通道組529而移動,以調節從下部電漿產生容積509通過狹槽排放通道組529而到腔室500之內部空腔500D內的流體流動(即,氣體流動)。在一實施例中,將壓力控制環531界定成一水平定向環狀盤,其可在垂直方向上朝向與遠離狹槽排放通道組529而移動。將壓力控制環531界定為當抵靠著狹槽排放通道組529而放置時,即,當抵靠著外結構部506(於其內形成狹槽排放通道組529)之水平定向部分的下表面而放置時,壓力控制環531係覆蓋(在內部空腔500D側上之)狹槽排放通道組529。
吾人可透過朝向與遠離狹槽排放通道組529之壓力控制環531的垂直移動來調節(即,控制)從下部電漿產生容積509通過狹槽排放通道組529而到腔室500之內部空腔500D的流體流動。在一實施例中,將壓力控制環531界定為能夠完全關閉從下部電漿產生容積509通過狹槽排放通道組529而到腔室500之內部空腔500D內的流動。又,在一實施例中,配置一壓力計以量測下部電漿產生容積509內的壓力。在此實施例中,下部電漿產生容積509內的量測壓力係用以產生控制壓力控制環531之位置的回饋信號,此壓力控制環接著提供下部電漿產生容積509內之壓力的主動控制。
吾人應瞭解上部電漿腔室512與下部電漿腔室514兩者係包圍各別的侷限電漿。侷限電漿係有利於可藉由控制電漿區域內(即,上部與下部電漿產生容積503與509內)的容積、壓力、以及流動來控制其滯留時間。此電漿滯留時間影響著分解過程,此分解過程乃為自由基與中子形成的要素。
如上所述,上部與下部電漿腔室512與514具有各別的RF電源控制、壓力控制、溫度控制、電漿處理氣體源控制、以及氣體流量控制。在各種實施例中,可將上部電漿產生容積503內的壓力控制在從約100 mTorr延伸到約1 Torr的範圍內,或控制在從約200 mTorr延伸到約600 mTorr的範圍內。在各種實施例中,可將下部電漿產生容積509內的壓力控制在從約5 mTorr延伸到約100 mTorr的範圍內,或控制在從約10 mTorr延伸到約30 mTorr的範圍內。
在具有噴淋頭電極501之圖5的實施例中,上部電漿腔室512為電容耦合電漿腔室。在此實施例中,將正交於噴淋頭電極501的下表面與氣體分佈單元515的上表面之間而於橫跨上部電漿產生容積503所量測到的垂直距離設定在從約1 cm延伸到約5 cm的範圍內。在一實施例中,橫跨上部電漿產生容積503的垂直距離約為2 cm。在另一實施例中,噴淋頭電極501在功能上可由感應線圈加以取代,以使上部電漿腔室512成為感應耦合電漿腔室。在此實施例中,橫跨上部電漿產生容積503的垂直距離可上至約12 cm。
氣體分佈單元515係配置在上部電漿產生容積503與下部電漿產生容積509之間。將氣體分佈單元515界定成形成用來分隔上部電漿產生容積503與下部電漿產生容積509的板,以使氣體分佈單元515板的上表面提供上部電漿產生容積503的下邊界,並使氣體分佈單元515板的下表面提供下部電漿產生容積509的上邊界。
氣體分佈單元515係藉由下部電漿腔室514的外結構部506而保持在一固定位置上。將氣體分佈單元515界定為透過氣體供應口519的佈局將電漿處理氣體供應到下部電漿產生容積509。進一步將氣體分佈單元515界定為包含穿孔517的佈局,以在上部電漿產生容積503與下部電漿產生容積509之間提供受控制的流體連通。每一個穿孔517皆從氣體分佈單元515的上表面到下表面延伸穿過氣體分佈單元515。
依照本發明之一實施例,圖6顯示具有上部電漿601與下部電漿603之圖5的腔室500。吾人應瞭解上部與下部電漿腔室512與514的獨立控制提供關於晶圓處理配方的廣泛可能性,尤其係關於相對於離子通量之自由基與中性粒子通量的獨立控制。以下提供一對示範晶圓處理。然而,吾人應瞭解在此所揭露的示範晶圓處理僅提供作為範例,並不表示在此所揭露之雙電漿處理腔室500之用途的任何限制。
在一示範實施例中,腔室500用以執行在晶圓處理電漿中利用高氟自由基與中性粒子通量與低CxFy(C4 F8 、C4 F6 等等)分解的晶圓處理。在此示範實施例中,將Ar與NF3 的混合物供應到上部電漿產生容積503以作為電漿處理氣體。上部電漿產生容積503係在高壓與高RF頻率(60 MHz)下操作。高氟自由基與中性粒子通量係在上部電漿產生容積503中產生並且流過氣體分佈單元515的穿孔517。在上部電漿產生容積503中所產生的離子係藉由氣體分佈單元515加以過濾。
又,在此示範實施例中,將Ar與CxFy氣體的混合物供應到下部電漿產生容積509以作為電漿處理氣體。下部電漿產生容積509係在低壓與伴隨脈衝RF功率的低到中RF頻率(2 MHz與27 MHz)下操作。下部電漿產生容積509的低RF頻率係對應於曝露至晶圓513之電漿中的低CxFy分解。吾人應明白在上部電漿產生容積503中所需用來產生必要氟自由基與中性粒子通量的高功率若被施加至下部電漿產生容積509時將會引起高CxFy分解。因此,雙電漿腔室500能夠執行上述處理。
在另一示範實施例中,腔室500用以執行在高壓容積中利用高CxFy(C4 F8 、C4 F6 等等)分解與在低壓容積中利用高密度Ar電漿的晶圓處理。在此示範實施例中,將CxFy與Ar的混合物供應到上部電漿產生容積503以作為電漿處理氣體。上部電漿產生容積503係在高壓與高RF頻率(60 MHz)下操作,以引起高CxFy分解。在上部電漿產生容積503中所產生的高分解CxFy流過氣體分佈單元515的穿孔517。在上部電漿產生容積503中所產生的離子係藉由氣體分佈單元515加以過濾。又,在此示範實施例中,將Ar氣體供應到下部電漿產生容積509以作為電漿處理氣體。下部電漿產生容積509係在低壓與低到中RF頻率(2 MHz與27 MHz)下操作,以產生具有高離子通量的高密度Ar電漿。
吾人應明白雙電漿腔室500係被界定為將自由基與中性粒子通量生成與離子電漿生成進行去耦合(decouple)。又,在一實施例中,下部電漿腔室514可不起作用,即,僅進行排放,以便在不使晶圓513曝露於電漿的情況下,將來自上部電漿腔室512的自由基與中性粒子通量施加至晶圓513。
依照一實施例,圖7顯示作為板厚度之函數的正規化(normalized)離子通量。影響上部腔室與下部腔室間之交互作用的其中一個參數為腔室間之板的結構。厚度界定穿孔的長度,而穿孔愈長,則離子與電子從上部腔室移動到下部腔室的障礙就愈大。
圖7之圖表顯示在不同壓力下,於雙腔室所取得之下部腔室中之正規化離子通量(於下列條件下:在Ar腔室中、在300 W下、27 MHz的RF頻率)的量測值。如同預期,離子通量係隨著穿孔的長度(深度)增加而減少。此外,下部腔室內的壓力愈高,則離子通量就愈低,因為下部腔室內的較高壓力會增加流體與物種移動到此底部腔室的阻力。
影響在上部腔室與下部腔室間之流動的第二個要素為穿孔的直徑。如同預期,穿孔的直徑愈大,則粒子到下部腔室的通量就愈大。其他影響上部腔室與下部腔室間之交互作用的要素為板中之穿孔的數量與分佈。穿孔的數量愈多,則可從上部腔室移動到下部腔室的電子就愈多,因為在上部與下部腔室之間存在有較多的路徑。
在一實施例中,柵為27 mm厚(即,穿孔具有27 mm的長度),以及穿孔具有2 mm的直徑,然而其他數值亦可行。在一實施例中,柵的厚度係介於10 mm與30 mm之間。在各種實施例中,穿孔的直徑尺寸係在從約0.5 mm延伸到約5 mm的範圍內。然而,吾人應瞭解在各種實施例中,穿孔可被分別界定為具有實質任何直徑尺寸,只要直徑尺寸提供對通過該處的足夠流體流動並且同時提供對電漿侵入其內的足夠抑制即可。
依照本發明之一實施例,圖8顯示半導體晶圓處理設備的操作規則流程。圖8之實施例顯示可被執行來控制上部腔室與下部腔室間之物種流動的不同操作。
在操作802中,設定頂部電漿腔室的參數。這些參數包含RF電源的操作頻率、RF電源的電壓與瓦特數、上部腔室內的壓力、注入上部腔室的氣體等等。在操作804中,設定底部電漿腔室的參數。吾人亦可將上述關於上部腔室的相同參數用於調整底部腔室。此外,亦對用以分隔上部腔室與下部腔室之板的參數進行界定。板的參數包含板的厚度、於板中之穿孔的數量與分佈、穿孔的直徑等等。
在操作806中,於具有在操作802與804中設定之參數的下部腔室中處理晶圓。在處理晶圓之後,此操作可決定調整此腔室之某些參數,以改善晶圓處理。為了判定此腔室中的蝕刻品質,可使用探針來量測此腔室的性能。例如,可使用探針來量測從頂部腔室到底部腔室的離子通量。
在操作810中,進行檢查以判定是否要調整功率,若操作810中的檢查結果為需要調整,則此方法繼續至操作812。在操作812中,設定頂部或底部腔室、或頂部與底部腔室兩者的瓦特數。當在這些腔室中增加功率時,電漿中的粒子數量亦將增加。
在操作814中,執行檢查以判定是否要調整RF電源的電壓,若要調整電壓,則在操作816中設定新的頂部及/或底部腔室之電壓等級。如上所述,此底部腔室包含脈衝RF電源。在操作820中,執行檢查以判定是否要調整RF電源的脈衝循環。在操作826中,設定開啟與關閉週期的持續時間(即,RF信號的循環)。舉例來說,若需要增加負離子蝕刻,則可增加關閉週期以提供較高的餘輝蝕刻。
在操作822中,進行檢查以判定是否要調整第一腔室或第二腔室內的壓力。若要改變任一腔室內的壓力,則在操作828中調整頂部腔室、或底部腔室、或兩腔室的壓力。如以上參考圖7所述,頂部腔室與底部腔室之間的壓力差愈高,則腔室間之粒子的流動就愈高。
又,在操作824中,進行檢查以判定是否需要改變板的參數。如上所述,吾人可改變板的數種參數,例如板的厚度、穿孔的數量、分佈、以及尺寸等等。若要調整板的參數,則可在操作830中調整板的上述任何參數。
在調整此腔室的所有參數(如果有的話)之後,此方法會回到操作806並且繼續晶圓的處理。吾人可注意到圖8所示之實施例係為示範。其他實施例可利用不同的調整、或以不同的順序來執行調整、或定期執行檢查等等。因此,圖8所示之實施例不應被解釋為排除或限制,而係應解釋為示範或示例。
依照本發明之一實施例,圖9顯示晶圓的處理規則流程。在操作902中,設定關於耦合至頂部腔室中之頂部電極的第一RF電源所產生之連續射頻(RF)信號的第一參數。第一參數包含第一電壓與第一頻率。又,在操作904中,設定關於耦合至底部腔室中之底部電極的第二RF電源所產生之脈衝RF信號的第二參數,於此處的第二參數包含第二電壓、第二頻率、開啟週期的持續時間、以及關閉週期的持續時間。
在操作906中,將連續RF信號施加至頂部電極,以及在操作908中,將脈衝RF信號施加至底部電極。設定第一參數與第二參數以調節在該等腔室操作期間從頂部腔室到底部腔室之物種的流動。物種的流動在關閉週期內之餘輝期間協助負離子蝕刻以及晶圓表面上之過多正電荷的中和,並且在開啟週期期間協助底部腔室中的電漿再觸發。
圖10為用以實現本發明實施例之電腦系統的簡化示意圖。吾人應明白可利用數位處理系統來執行在此所述之方法,例如習知通用電腦系統。吾人可使用專用電腦作為替代,此專用電腦被設計或程式化來執行僅一種功能。此電腦系統包含中央處理單元(CPU)1004,其係透過匯流排1010而耦合至隨機存取記憶體(RAM)1028、唯讀記憶體(ROM)1012、以及大量儲存裝置1014。相位控制程式1008常駐於隨機存取記憶體(RAM)1028內,但亦可常駐於大量儲存裝置1014或ROM 1012內。
大量儲存裝置1014係表示持續資料儲存裝置,例如軟磁碟驅動機或固定磁碟驅動機,其可為近距或遠距。網路介面1030係經由網路1032來提供連接,以允許與其他裝置進行通信。吾人應明白可利用通用處理器、專用處理器、或特別程式化邏輯裝置來實現CPU 1004。輸入/輸出(I/O)介面提供與不同周邊設備的通信,並且透過匯流排1010而與CPU 1004、RAM 1028、ROM 1012、以及大量儲存裝置1014連接。示範的周邊設備包含顯示器1018、鍵盤1022、游標控制裝置1024、可移除式媒體裝置1034等等。
顯示器1018用以顯示在此所述之使用者介面。鍵盤1022、游標控制裝置1024、可移除式媒體裝置1034、以及其他周邊設備係耦合至I/O介面1020,以將指令選擇中的資訊傳遞至CPU 1004。吾人應明白往來於外部裝置的資料可透過I/O介面1020加以傳遞。本發明亦可在分散式運算環境(distributed computing environments)中實施,於其中係藉由透過有線或無線網路而產生連結的遠距處理裝置來執行工作。
吾人可利用各種電腦系統結構來實施本發明之實施例,其包含手持式裝置、微處理器系統、以微處理器為基或可程式化的消費者電子產品、小型電腦、大型電腦等等。本發明亦可在分散式運算環境中實施,於其中係藉由透過網路而產生連結的遠距處理裝置來執行工作。
考慮到上述實施例,吾人應瞭解本發明可使用包含儲存於電腦系統中之資料的各種電腦實現操作。這些操作為物理量的必要實體調處。任何在此所述形成本發明部分的操作為有用的機械操作。本發明亦關於用以執行這些操作的裝置或設備。這些設備可專門為了所需之目的而建造,例如專用電腦。當被界定成專用電腦時,此電腦亦可執行非為特別目的之部分的其他處理、程式執行或程序,並且仍然能夠同時為了特別目的而進行操作。或者,這些操作可藉由受到儲存於電腦記憶體、快取記憶體中或透過網路獲得之一或多個電腦程式所選擇性啟動或配置的通用電腦加以處理。當透過網路獲得資料時,這些資料可藉由在網路上的其他電腦加以處理,例如雲端運算資源。
本發明之一或多個實施例亦可被製作成電腦可讀取媒體上的電腦可讀碼。此電腦可讀取媒體為任何可儲存資料的資料儲存裝置,這些資料之後可被電腦系統所讀取。電腦可讀取媒體的範例包含硬碟、網路附接儲存器(NAS,network attached storage)、唯讀記憶體、隨機存取記憶體、CD-ROMs、CD-Rs、CD-RWs、磁帶以及其他光學與非光學資料儲存裝置。此電腦可讀取媒體可包含電腦可讀取有形媒體,其係分散於網路耦合電腦系統,俾能使電腦可讀碼被以分散方式加以儲存與執行。
雖然此方法操作係以特定順序加以敘述,但吾人應瞭解在這些操作之間仍可執行其他的廠務管理操作,或者可調整操作,俾使該等操作可在稍微不同的時間發生,或者可將操作分散於允許處理操作在與此處理相關之各種時距發生的系統中,只要能以期望的方式來執行重疊操作的處理即可。
雖然上述發明已為了達到清楚瞭解之目的而進行某種程度上的詳細敘述,但吾人可明白在隨附請求項的範圍內仍可實施某種變化與修改。因此,本發明實施例應被視為示例而非限制,且本發明並不限於在此所提出之細節,而可在隨附請求項的範圍與等效設計內進行修改。
102‧‧‧電漿
104‧‧‧頂部電極
106‧‧‧基板
108‧‧‧底部電極
110‧‧‧矽聚焦環
112‧‧‧多孔侷限環
114‧‧‧匹配網路
116‧‧‧高頻RF產生器
118‧‧‧低頻RF產生器
202‧‧‧圖表
204‧‧‧圖表
206‧‧‧圖表
208‧‧‧圖表
210‧‧‧圖表
212‧‧‧圖表
214‧‧‧圖表
220‧‧‧電子密度
222‧‧‧正離子密度
302‧‧‧線
304‧‧‧線
306‧‧‧線
402‧‧‧第三RF電源
404‧‧‧匹配網路
406‧‧‧第一RF電源
408‧‧‧RF匹配
410‧‧‧第二RF電源
412‧‧‧RF匹配
414‧‧‧頂部腔室
416‧‧‧頂部電漿
418‧‧‧底部電漿
420‧‧‧底部腔室
422‧‧‧基板
424‧‧‧多孔接地電極
426‧‧‧穿孔
428‧‧‧連續波控制器
430‧‧‧脈衝控制器
432‧‧‧系統控制器
434‧‧‧電漿配方設定
500‧‧‧腔室
500A‧‧‧頂板
500B‧‧‧底板
500C‧‧‧壁
500D‧‧‧內部空腔
501‧‧‧噴淋頭電極
503‧‧‧上部電漿產生容積
504‧‧‧外結構部
505‧‧‧RF電源
506‧‧‧外結構部
507‧‧‧夾頭
509‧‧‧下部電漿產生容積
511‧‧‧RF電源
512‧‧‧上部電漿腔室
513‧‧‧基板(晶圓)
514‧‧‧下部電漿腔室
515‧‧‧氣體分佈單元
517‧‧‧穿孔
519‧‧‧氣體供應口
520‧‧‧DC偏壓源
522‧‧‧RF電源
524‧‧‧DC偏壓源
525‧‧‧排放通道
527‧‧‧壓力調節環
529‧‧‧狹槽排放通道組
530‧‧‧電極
531‧‧‧壓力控制環
535‧‧‧排放口
537‧‧‧排放幫浦
548‧‧‧導體
549‧‧‧晶圓邊緣環
1004‧‧‧中央處理單元
1008‧‧‧相位控制程式
1010‧‧‧匯流排
1012‧‧‧唯讀記憶體
1014‧‧‧大量儲存裝置
1018‧‧‧顯示器
1020‧‧‧I/O介面
1022‧‧‧鍵盤
1024‧‧‧游標控制裝置
1028‧‧‧隨機存取記憶體
1030‧‧‧網路介面
1032‧‧‧網路
1034‧‧‧可移除式媒體裝置
吾人可藉由參考下列與隨附圖式相結合的說明內容而獲得本發明的最佳瞭解。
依照一實施例,圖1顯示一蝕刻腔室。
依照一實施例,圖2顯示脈衝電漿腔室的特性。
依照一實施例,圖3顯示RF功率頻率與腔室壓力對電漿密度的影響。
依照本發明之一實施例,圖4顯示具有兩腔室的一半導體晶圓處理設備。
依照本發明之一實施例,圖5顯示一半導體晶圓處理設備。
依照本發明之一實施例,圖6顯示具有上部電漿與下部電漿之圖5的腔室。
依照一實施例,圖7顯示作為板厚度之函數的正規化離子通量。
依照本發明之一實施例,圖8顯示一半導體晶圓處理設備的操作規則流程。
依照本發明之一實施例,圖9顯示晶圓的處理規則流程。
圖10為用以實現本發明實施例之一電腦系統的簡化示意圖。
402‧‧‧第三RF電源
404‧‧‧匹配網路
406‧‧‧第一RF電源
408‧‧‧RF匹配
410‧‧‧第二RF電源
412‧‧‧RF匹配
414‧‧‧頂部腔室
416‧‧‧頂部電漿
418‧‧‧底部電漿
420‧‧‧底部腔室
422‧‧‧基板
424‧‧‧多孔接地電極
426‧‧‧穿孔
428‧‧‧連續波控制器
430‧‧‧脈衝控制器
432‧‧‧系統控制器
434‧‧‧電漿配方設定

Claims (18)

  1. 一種在晶圓處理設備中處理晶圓的方法,該晶圓處理設備具有一頂部腔室與一底部腔室,該方法包含下列步驟: 設定由一第一RF電源所產生之一連續射頻(RF,radio frequency)信號的複數第一參數,該第一RF電源係耦合至該頂部腔室中的一頂部電極,其中該等第一參數包含第一電壓與第一頻率,該第一RF電源係用以在操作期間提供一連續RF功率; 設定由一第二RF電源所產生之一脈衝RF信號的複數第二參數,該第二RF電源係耦合至該底部腔室中的一底部電極,其中該等第二參數包含第二電壓、第二頻率、開啟週期的持續時間、以及關閉週期的持續時間,其中一板將該頂部腔室與該底部腔室隔開,該板具有複數孔洞,該複數孔洞使操作期間從該頂部腔室到該底部腔室之物種的流動能進行; 將該連續RF信號施加至該頂部電極;及 將該脈衝RF信號施加至該底部電極,其中一底部電漿於該開啟週期期間在該底部腔室中觸發,其中該底部電漿的電位在該關閉週期期間衰減直到該電位達到實質上為零的數值為止,其中設定該等第一參數及該等第二參數以在該開啟週期期間調節從該頂部腔室到該底部腔室之該物種的流動、且在該關閉週期期間調節該物種的流動,其中調節該物種的流動之步驟在該關閉週期內的餘輝期間幫助調節該底部腔室中之負離子蝕刻及調節該底部腔室中之晶圓表面上的正電荷,且調節該物種的流動之步驟藉由調節從該頂部腔室到該底部腔室之電子的流動而在該開啟週期期間幫助該底部腔室中的該底部電漿再觸發。
  2. 如申請專利範圍第1項所述之在晶圓處理設備中處理晶圓的方法,更包含下列步驟: 設定該頂部腔室內的第一壓力;及 設定該底部腔室內的第二壓力。
  3. 如申請專利範圍第2項所述之在晶圓處理設備中處理晶圓的方法,其中該第一壓力高於該第二壓力。
  4. 如申請專利範圍第2項所述之在晶圓處理設備中處理晶圓的方法,更包含下列步驟: 增加該第一壓力,以增加從該頂部腔室到該底部腔室之該物種的流動。
  5. 如申請專利範圍第1項所述之在晶圓處理設備中處理晶圓的方法,更包含下列步驟: 調整將該頂部腔室與該底部腔室分隔之該板中之複數穿孔的長度,其中減少該等穿孔的長度,會增加從該頂部腔室到該底部腔室之該物種的流動。
  6. 如申請專利範圍第5項所述之在晶圓處理設備中處理晶圓的方法,更包含下列步驟: 減少該板中之該等穿孔的數量,以降低在該頂部腔室與該底部腔室間之該物種的流動。
  7. 如申請專利範圍第1項所述之在晶圓處理設備中處理晶圓的方法,其中設定該等第一參數的步驟包含: 增加該第一電壓,以增加該物種的流動。
  8. 如申請專利範圍第1項所述之在晶圓處理設備中處理晶圓的方法,其中該開啟週期的持續時間係不同於該關閉週期的持續時間。
  9. 如申請專利範圍第1項所述之在晶圓處理設備中處理晶圓的方法,其中該開啟週期的持續時間等於該關閉週期的持續時間。
  10. 如申請專利範圍第1項所述之在晶圓處理設備中處理晶圓的方法,其中該第一RF電源的頻率具有介於27 MHz與100 MHz之間的值。
  11. 如申請專利範圍第1項所述之在晶圓處理設備中處理晶圓的方法,其中該第二RF電源的頻率具有介於0.4 MHz與25 MHz之間的值。
  12. 如申請專利範圍第1項所述之在晶圓處理設備中處理晶圓的方法,其中該第一RF電源的電壓具有介於100 V與600 V之間的值。
  13. 如申請專利範圍第1項所述之在晶圓處理設備中處理晶圓的方法,其中該第二RF電源的電壓具有介於1000 V與6000 V之間的值。
  14. 如申請專利範圍第1項所述之在晶圓處理設備中處理晶圓的方法,其中該頂部腔室係可操作以在處理該晶圓時於該頂部腔室內形成頂部電漿。
  15. 如申請專利範圍第1項所述之在晶圓處理設備中處理晶圓的方法,其中該頂部腔室係可操作成在處理期間具有介於20 mTorr與60 mTorr之間的第一壓力,且其中該底部腔室係可操作成在處理期間具有介於10 mTorr與19 mTorr之間的第二壓力。
  16. 一種在晶圓處理設備中處理晶圓的方法,該晶圓處理設備具有一頂部腔室與一底部腔室,該方法包含下列步驟: 設定由一第一RF電源所產生之一連續射頻(RF,radio frequency)信號的複數第一參數,該第一RF電源係耦合至該頂部腔室中的一頂部電極,其中該等第一參數包含第一電壓與第一頻率,該第一RF電源係用以在操作期間提供一連續RF功率; 設定由一第二RF電源所產生之一脈衝RF信號的複數第二參數,該第二RF電源係耦合至該底部腔室中的一底部電極,其中該等第二參數包含第二電壓、第二頻率、開啟週期的持續時間、以及關閉週期的持續時間,其中一板將該頂部腔室與該底部腔室隔開,該板具有複數孔洞,該複數孔洞使操作期間從該頂部腔室到該底部腔室之物種的流動能進行; 設定由一第三RF電源所產生之一第三脈衝RF信號的複數第三參數,該第三RF電源係耦合至該底部電極; 將該連續RF信號施加至該頂部電極; 將該脈衝RF信號施加至該底部電極;及 將該第三脈衝RF信號施加至該底部電極,其中一底部電漿於該開啟週期期間在該底部腔室中觸發,其中該底部電漿的電位在該關閉週期期間衰減直到該電位達到實質上為零的數值為止,其中設定該等第一參數、該等第二參數、及該等第三參數之步驟在該開啟週期期間調節從該頂部腔室到該底部腔室之該物種的流動及在該關閉週期期間調節該物種的流動,其中調節該物種的流動之步驟在該關閉週期內的餘輝期間幫助調節該底部腔室中之負離子蝕刻及調節該底部腔室中之晶圓表面上的正電荷,且調節該物種的流動之步驟藉由調節從該頂部腔室到該底部腔室之電子的流動而在該開啟週期期間幫助該底部腔室中的該底部電漿再觸發。
  17. 如申請專利範圍第16項所述之在晶圓處理設備中處理晶圓的方法,其中該第一RF電源具有介於30 MHz與100 MHz之間的頻率、該第二RF電源具有介於0.4 MHz與4 MHz之間的頻率、以及該第三RF電源具有介於20 MHz與100 MHz之間的頻率。
  18. 如申請專利範圍第16項所述之在晶圓處理設備中處理晶圓的方法,其中該頂部腔室係可操作成在處理期間具有介於20 mTorr與60 mTorr之間的第一壓力,且其中該底部腔室係可操作成在處理期間具有介於10 mTorr與19 mTorr之間的第二壓力。
TW105129203A 2011-09-07 2012-09-07 處理晶圓的方法 TWI608544B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US13/227,404 US20130059448A1 (en) 2011-09-07 2011-09-07 Pulsed Plasma Chamber in Dual Chamber Configuration

Publications (2)

Publication Number Publication Date
TW201701355A TW201701355A (zh) 2017-01-01
TWI608544B true TWI608544B (zh) 2017-12-11

Family

ID=47753486

Family Applications (2)

Application Number Title Priority Date Filing Date
TW105129203A TWI608544B (zh) 2011-09-07 2012-09-07 處理晶圓的方法
TW101132728A TWI562232B (en) 2011-09-07 2012-09-07 Pulsed plasma chamber in dual chamber configuration

Family Applications After (1)

Application Number Title Priority Date Filing Date
TW101132728A TWI562232B (en) 2011-09-07 2012-09-07 Pulsed plasma chamber in dual chamber configuration

Country Status (7)

Country Link
US (4) US20130059448A1 (zh)
JP (3) JP6382719B2 (zh)
KR (1) KR101983866B1 (zh)
CN (2) CN103890916B (zh)
SG (2) SG10201602732TA (zh)
TW (2) TWI608544B (zh)
WO (1) WO2013036371A2 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11578407B2 (en) 2018-05-21 2023-02-14 Tokyo Electron Limited Film-forming apparatus and film-forming method

Families Citing this family (143)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9117767B2 (en) * 2011-07-21 2015-08-25 Lam Research Corporation Negative ion control for dielectric etch
US20130059448A1 (en) * 2011-09-07 2013-03-07 Lam Research Corporation Pulsed Plasma Chamber in Dual Chamber Configuration
US9793126B2 (en) 2010-08-04 2017-10-17 Lam Research Corporation Ion to neutral control for wafer processing with dual plasma source reactor
US9039911B2 (en) 2012-08-27 2015-05-26 Lam Research Corporation Plasma-enhanced etching in an augmented plasma processing system
US9083182B2 (en) 2011-11-21 2015-07-14 Lam Research Corporation Bypass capacitors for high voltage bias power in the mid frequency RF range
US9263240B2 (en) 2011-11-22 2016-02-16 Lam Research Corporation Dual zone temperature control of upper electrodes
US9396908B2 (en) 2011-11-22 2016-07-19 Lam Research Corporation Systems and methods for controlling a plasma edge region
US10586686B2 (en) 2011-11-22 2020-03-10 Law Research Corporation Peripheral RF feed and symmetric RF return for symmetric RF delivery
WO2013078098A1 (en) * 2011-11-23 2013-05-30 Lam Research Corporation Multi zone gas injection upper electrode system
KR102011535B1 (ko) 2011-11-24 2019-08-16 램 리써치 코포레이션 가요성 있는 대칭적 rf 복귀 스트랩을 갖는 플라즈마 프로세싱 챔버
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9048190B2 (en) * 2012-10-09 2015-06-02 Applied Materials, Inc. Methods and apparatus for processing substrates using an ion shield
US9165783B2 (en) * 2012-11-01 2015-10-20 Applied Materials, Inc. Method of patterning a low-k dielectric film
US9337000B2 (en) 2013-10-01 2016-05-10 Lam Research Corporation Control of impedance of RF return path
US9401264B2 (en) * 2013-10-01 2016-07-26 Lam Research Corporation Control of impedance of RF delivery path
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9230819B2 (en) 2013-04-05 2016-01-05 Lam Research Corporation Internal plasma grid applications for semiconductor fabrication in context of ion-ion plasma processing
US9245761B2 (en) * 2013-04-05 2016-01-26 Lam Research Corporation Internal plasma grid for semiconductor fabrication
US9490149B2 (en) * 2013-07-03 2016-11-08 Lam Research Corporation Chemical deposition apparatus having conductance control
US9017526B2 (en) 2013-07-08 2015-04-28 Lam Research Corporation Ion beam etching system
US9147581B2 (en) 2013-07-11 2015-09-29 Lam Research Corporation Dual chamber plasma etcher with ion accelerator
US9589799B2 (en) * 2013-09-30 2017-03-07 Lam Research Corporation High selectivity and low stress carbon hardmask by pulsed low frequency RF power
US10892140B2 (en) 2018-07-27 2021-01-12 Eagle Harbor Technologies, Inc. Nanosecond pulser bias compensation
JP6247087B2 (ja) * 2013-12-18 2017-12-13 東京エレクトロン株式会社 処理装置および活性種の生成方法
JP6157385B2 (ja) * 2014-03-11 2017-07-05 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
KR102222902B1 (ko) * 2014-05-12 2021-03-05 삼성전자주식회사 플라즈마 장비 및 이를 이용한 반도체 소자의 제조 방법
KR20160022458A (ko) 2014-08-19 2016-03-02 삼성전자주식회사 플라즈마 장비 및 이의 동작 방법
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9793104B2 (en) 2015-01-29 2017-10-17 Aixtron Se Preparing a semiconductor surface for epitaxial deposition
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US10475626B2 (en) * 2015-03-17 2019-11-12 Applied Materials, Inc. Ion-ion plasma atomic layer etch process and reactor
US9799494B2 (en) * 2015-04-03 2017-10-24 Tokyo Electron Limited Energetic negative ion impact ionization plasma
FR3035881B1 (fr) * 2015-05-04 2019-09-27 Sidel Participations Installation pour le traitement de recipients par plasma micro-ondes, comprenant un generateur a etat solide
US9793097B2 (en) 2015-07-27 2017-10-17 Lam Research Corporation Time varying segmented pressure control
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) * 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
EP3136419B1 (en) * 2015-08-31 2018-04-18 Total S.A. Plasma generating apparatus and method of manufacturing patterned devices using spatially resolved plasma processing
US9978606B2 (en) * 2015-10-02 2018-05-22 Applied Materials, Inc. Methods for atomic level resolution and plasma processing control
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11430635B2 (en) 2018-07-27 2022-08-30 Eagle Harbor Technologies, Inc. Precise plasma control system
US11004660B2 (en) 2018-11-30 2021-05-11 Eagle Harbor Technologies, Inc. Variable output impedance RF generator
US9865484B1 (en) * 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US9773643B1 (en) * 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10283330B2 (en) * 2016-07-25 2019-05-07 Lam Research Corporation Systems and methods for achieving a pre-determined factor associated with an edge region within a plasma chamber by synchronizing main and edge RF generators
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US11694911B2 (en) * 2016-12-20 2023-07-04 Lam Research Corporation Systems and methods for metastable activated radical selective strip and etch using dual plenum showerhead
US10262910B2 (en) * 2016-12-23 2019-04-16 Lam Research Corporation Method of feature exaction from time-series of spectra to control endpoint of process
US10242845B2 (en) * 2017-01-17 2019-03-26 Lam Research Corporation Near-substrate supplemental plasma density generation with low bias voltage within inductively coupled plasma processing chamber
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
CN108666197B (zh) * 2017-03-31 2020-02-14 北京北方华创微电子装备有限公司 一种脉冲功率源和半导体设备
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US20180358206A1 (en) * 2017-06-09 2018-12-13 Mattson Technology, Inc. Plasma Processing Apparatus
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10020183B1 (en) * 2017-06-29 2018-07-10 Lam Research Corporation Edge roughness reduction
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10395894B2 (en) * 2017-08-31 2019-08-27 Lam Research Corporation Systems and methods for achieving peak ion energy enhancement with a low angular spread
US10510575B2 (en) * 2017-09-20 2019-12-17 Applied Materials, Inc. Substrate support with multiple embedded electrodes
US10777386B2 (en) * 2017-10-17 2020-09-15 Lam Research Corporation Methods for controlling plasma glow discharge in a plasma chamber
KR102453450B1 (ko) * 2017-10-23 2022-10-13 삼성전자주식회사 플라즈마 처리 장치, 반도체 소자의 제조설비 및 그의 제조방법
JP7002921B2 (ja) * 2017-11-10 2022-01-20 東京エレクトロン株式会社 基板処理方法及び基板処理装置
KR101886755B1 (ko) * 2017-11-17 2018-08-09 한국원자력연구원 다중 펄스 플라즈마를 이용한 음이온 공급의 연속화 시스템 및 방법
CN107979910B (zh) * 2017-11-29 2020-06-05 中国人民解放军陆军工程大学 一种高真空环境下介质材料表面电位主动控制方法
KR102626357B1 (ko) * 2017-12-07 2024-01-16 램 리써치 코포레이션 반도체 rf 플라즈마 프로세싱을 위한 펄싱 내 rf 펄싱
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
JP2021509525A (ja) * 2017-12-27 2021-03-25 マトソン テクノロジー インコーポレイテッドMattson Technology, Inc. プラズマ処理装置および方法
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
WO2019143474A1 (en) * 2018-01-18 2019-07-25 Applied Materials, Inc. Etching apparatus and methods
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10555412B2 (en) 2018-05-10 2020-02-04 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator with a current-return output stage
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11532457B2 (en) 2018-07-27 2022-12-20 Eagle Harbor Technologies, Inc. Precise plasma control system
US11222767B2 (en) 2018-07-27 2022-01-11 Eagle Harbor Technologies, Inc. Nanosecond pulser bias compensation
WO2020023853A1 (en) * 2018-07-27 2020-01-30 Applied Materials, Inc. Remote capacitively coupled plasma source with improved ion blocker
KR20200015264A (ko) 2018-08-03 2020-02-12 삼성전자주식회사 웨이퍼 접합 방법 및 웨이퍼 접합 시스템
KR102499709B1 (ko) 2018-08-10 2023-02-16 이글 하버 테크놀로지스, 인코포레이티드 RF 플라즈마 반응기용 플라즈마 시스(sheath) 제어
US20200058469A1 (en) * 2018-08-14 2020-02-20 Tokyo Electron Limited Systems and methods of control for plasma processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
CN113169026B (zh) 2019-01-22 2024-04-26 应用材料公司 用于控制脉冲电压波形的反馈回路
US11508554B2 (en) 2019-01-24 2022-11-22 Applied Materials, Inc. High voltage filter assembly
TW202113121A (zh) 2019-05-29 2021-04-01 美商蘭姆研究公司 藉由高功率脈衝低頻率射頻產生的高選擇性、低應力、且低氫之類鑽石碳硬遮罩
EP4005082A4 (en) 2019-07-29 2023-08-09 AES Global Holdings, Pte. Ltd. MULTIPLEXED POWER GENERATOR OUTPUT WITH CHANNEL OFFSETS FOR PULSED CONTROL OF MULTIPLE LOADS
US11043387B2 (en) * 2019-10-30 2021-06-22 Applied Materials, Inc. Methods and apparatus for processing a substrate
TWI778449B (zh) 2019-11-15 2022-09-21 美商鷹港科技股份有限公司 高電壓脈衝電路
JP2023503578A (ja) * 2019-11-27 2023-01-31 アプライド マテリアルズ インコーポレイテッド 複数のプラズマユニットを有する処理チャンバ
KR20230150396A (ko) 2019-12-24 2023-10-30 이글 하버 테크놀로지스, 인코포레이티드 플라즈마 시스템을 위한 나노초 펄서 rf 절연
TW202209401A (zh) * 2020-05-01 2022-03-01 美商得昇科技股份有限公司 用於表面處理製程之脈衝式感應耦合電漿的方法及設備
CN113838730B (zh) * 2020-06-08 2024-05-14 中微半导体设备(上海)股份有限公司 气体遮挡环、等离子体处理装置及调控聚合物分布的方法
US11189462B1 (en) 2020-07-21 2021-11-30 Tokyo Electron Limited Ion stratification using bias pulses of short duration
US11462389B2 (en) 2020-07-31 2022-10-04 Applied Materials, Inc. Pulsed-voltage hardware assembly for use in a plasma processing system
US11798790B2 (en) 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11901157B2 (en) 2020-11-16 2024-02-13 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11495470B1 (en) 2021-04-16 2022-11-08 Applied Materials, Inc. Method of enhancing etching selectivity using a pulsed plasma
TW202309969A (zh) * 2021-05-06 2023-03-01 日商東京威力科創股份有限公司 電漿處理裝置及終點檢測方法
US11948780B2 (en) 2021-05-12 2024-04-02 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11791138B2 (en) 2021-05-12 2023-10-17 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11967483B2 (en) 2021-06-02 2024-04-23 Applied Materials, Inc. Plasma excitation with ion energy control
US20220399185A1 (en) 2021-06-09 2022-12-15 Applied Materials, Inc. Plasma chamber and chamber component cleaning methods
US11810760B2 (en) 2021-06-16 2023-11-07 Applied Materials, Inc. Apparatus and method of ion current compensation
US11569066B2 (en) 2021-06-23 2023-01-31 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US11776788B2 (en) 2021-06-28 2023-10-03 Applied Materials, Inc. Pulsed voltage boost for substrate processing
US11476090B1 (en) 2021-08-24 2022-10-18 Applied Materials, Inc. Voltage pulse time-domain multiplexing
KR20240065108A (ko) * 2021-09-15 2024-05-14 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치
KR20230092176A (ko) 2021-12-17 2023-06-26 삼성전자주식회사 플라즈마 공정 챔버의 화학종을 진단하는 진단 장치, 그것을 포함하는 화학종 진단 시스템 및 그것의 동작 방법
US11972924B2 (en) 2022-06-08 2024-04-30 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
CN117769757A (zh) * 2022-07-25 2024-03-26 株式会社日立高新技术 等离子体处理方法

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001332534A (ja) * 2000-05-25 2001-11-30 Matsushita Electric Ind Co Ltd プラズマ処理方法及びプラズマ処理装置
TW200403753A (en) * 2002-08-09 2004-03-01 Applied Materials Inc Etch chamber with dual frequency biasing sources and a single frequency plasma generating source
TW200841775A (en) * 2006-12-05 2008-10-16 Applied Materials Inc Mid-chamber gas distribution plate, tuned plasma flow control grid and electrode
US20100126668A1 (en) * 2004-06-21 2010-05-27 Tokyo Electron Limited Plasma processing apparatus and method

Family Cites Families (56)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4263088A (en) * 1979-06-25 1981-04-21 Motorola, Inc. Method for process control of a plasma reaction
US5367139A (en) * 1989-10-23 1994-11-22 International Business Machines Corporation Methods and apparatus for contamination control in plasma processing
JPH0689880A (ja) * 1992-09-08 1994-03-29 Tokyo Electron Ltd エッチング装置
JP2764524B2 (ja) * 1993-09-28 1998-06-11 名古屋大学長 ラジカルの制御装置
JPH0845858A (ja) * 1994-07-27 1996-02-16 Sony Corp プラズマ処理装置
JP3360461B2 (ja) * 1995-01-31 2002-12-24 ソニー株式会社 メタル成膜工程の前処理方法
US6794301B2 (en) * 1995-10-13 2004-09-21 Mattson Technology, Inc. Pulsed plasma processing of semiconductor substrates
JP3386651B2 (ja) * 1996-04-03 2003-03-17 株式会社東芝 半導体装置の製造方法および半導体製造装置
JP3561080B2 (ja) * 1996-04-23 2004-09-02 松下電器産業株式会社 プラズマ処理装置及びプラズマ処理方法
EP0821395A3 (en) * 1996-07-19 1998-03-25 Tokyo Electron Limited Plasma processing apparatus
JPH1079372A (ja) * 1996-09-03 1998-03-24 Matsushita Electric Ind Co Ltd プラズマ処理方法及びプラズマ処理装置
US6214162B1 (en) * 1996-09-27 2001-04-10 Tokyo Electron Limited Plasma processing apparatus
JP3317209B2 (ja) * 1997-08-12 2002-08-26 東京エレクトロンエイ・ティー株式会社 プラズマ処理装置及びプラズマ処理方法
JPH11219938A (ja) * 1998-02-02 1999-08-10 Matsushita Electron Corp プラズマエッチング方法
JP4212210B2 (ja) * 1999-12-07 2009-01-21 株式会社小松製作所 表面処理装置
US6350317B1 (en) * 1999-12-30 2002-02-26 Lam Research Corporation Linear drive system for use in a plasma processing system
US6261408B1 (en) * 2000-02-16 2001-07-17 Applied Materials, Inc. Method and apparatus for semiconductor processing chamber pressure control
JP4371543B2 (ja) * 2000-06-29 2009-11-25 日本電気株式会社 リモートプラズマcvd装置及び膜形成方法
US7037813B2 (en) * 2000-08-11 2006-05-02 Applied Materials, Inc. Plasma immersion ion implantation process using a capacitively coupled plasma source having low dissociation and low minimum plasma voltage
US6492774B1 (en) * 2000-10-04 2002-12-10 Lam Research Corporation Wafer area pressure control for plasma confinement
US20030013314A1 (en) * 2001-07-06 2003-01-16 Chentsau Ying Method of reducing particulates in a plasma etch chamber during a metal etch process
AU2002366943A1 (en) * 2001-12-20 2003-07-09 Tokyo Electron Limited Method and apparatus comprising a magnetic filter for plasma processing a workpiece
JP2006500377A (ja) * 2002-06-21 2006-01-05 トランスフォーム・ファーマシューティカルズ・インコーポレイテッド 改善された溶解性を有する医薬組成物
JP2004153240A (ja) * 2002-10-09 2004-05-27 Advanced Lcd Technologies Development Center Co Ltd プラズマ処理装置
US7976673B2 (en) * 2003-05-06 2011-07-12 Lam Research Corporation RF pulsing of a narrow gap capacitively coupled reactor
US7144521B2 (en) * 2003-08-22 2006-12-05 Lam Research Corporation High aspect ratio etch using modulation of RF powers of various frequencies
US7838430B2 (en) * 2003-10-28 2010-11-23 Applied Materials, Inc. Plasma control using dual cathode frequency mixing
US20050103265A1 (en) * 2003-11-19 2005-05-19 Applied Materials, Inc., A Delaware Corporation Gas distribution showerhead featuring exhaust apertures
US7695590B2 (en) * 2004-03-26 2010-04-13 Applied Materials, Inc. Chemical vapor deposition plasma reactor having plural ion shower grids
US7381291B2 (en) * 2004-07-29 2008-06-03 Asm Japan K.K. Dual-chamber plasma processing apparatus
US7829243B2 (en) * 2005-01-27 2010-11-09 Applied Materials, Inc. Method for plasma etching a chromium layer suitable for photomask fabrication
US7364623B2 (en) * 2005-01-27 2008-04-29 Lam Research Corporation Confinement ring drive
JP2007088199A (ja) * 2005-09-22 2007-04-05 Canon Inc 処理装置
US20070264427A1 (en) * 2005-12-21 2007-11-15 Asm Japan K.K. Thin film formation by atomic layer growth and chemical vapor deposition
US7645357B2 (en) * 2006-04-24 2010-01-12 Applied Materials, Inc. Plasma reactor apparatus with a VHF capacitively coupled plasma source of variable frequency
US8192576B2 (en) * 2006-09-20 2012-06-05 Lam Research Corporation Methods of and apparatus for measuring and controlling wafer potential in pulsed RF bias processing
US8043430B2 (en) * 2006-12-20 2011-10-25 Lam Research Corporation Methods and apparatuses for controlling gas flow conductance in a capacitively-coupled plasma processing chamber
US20090277587A1 (en) * 2008-05-09 2009-11-12 Applied Materials, Inc. Flowable dielectric equipment and processes
US8357435B2 (en) * 2008-05-09 2013-01-22 Applied Materials, Inc. Flowable dielectric equipment and processes
US9493875B2 (en) * 2008-09-30 2016-11-15 Eugene Technology Co., Ltd. Shower head unit and chemical vapor deposition apparatus
US8043434B2 (en) * 2008-10-23 2011-10-25 Lam Research Corporation Method and apparatus for removing photoresist
JP5221403B2 (ja) * 2009-01-26 2013-06-26 東京エレクトロン株式会社 プラズマエッチング方法、プラズマエッチング装置および記憶媒体
US8475673B2 (en) * 2009-04-24 2013-07-02 Lam Research Company Method and apparatus for high aspect ratio dielectric etch
US9287086B2 (en) * 2010-04-26 2016-03-15 Advanced Energy Industries, Inc. System, method and apparatus for controlling ion energy distribution
US8749053B2 (en) * 2009-06-23 2014-06-10 Intevac, Inc. Plasma grid implant system for use in solar cell fabrications
US8404598B2 (en) * 2009-08-07 2013-03-26 Applied Materials, Inc. Synchronized radio frequency pulsing for plasma etching
CN102656952B (zh) * 2009-09-28 2016-10-12 朗姆研究公司 组合式约束环装置及其方法
US20110177694A1 (en) * 2010-01-15 2011-07-21 Tokyo Electron Limited Switchable Neutral Beam Source
US9190289B2 (en) * 2010-02-26 2015-11-17 Lam Research Corporation System, method and apparatus for plasma etch having independent control of ion generation and dissociation of process gas
US9793126B2 (en) * 2010-08-04 2017-10-17 Lam Research Corporation Ion to neutral control for wafer processing with dual plasma source reactor
US9184028B2 (en) * 2010-08-04 2015-11-10 Lam Research Corporation Dual plasma volume processing apparatus for neutral/ion flux control
US20130059448A1 (en) * 2011-09-07 2013-03-07 Lam Research Corporation Pulsed Plasma Chamber in Dual Chamber Configuration
US20130168352A1 (en) * 2011-12-28 2013-07-04 Andreas Fischer Methods and apparatuses for controlling plasma properties by controlling conductance between sub-chambers of a plasma processing chamber
US9881772B2 (en) * 2012-03-28 2018-01-30 Lam Research Corporation Multi-radiofrequency impedance control for plasma uniformity tuning
US9255326B2 (en) * 2013-03-12 2016-02-09 Novellus Systems, Inc. Systems and methods for remote plasma atomic layer deposition
US9425058B2 (en) * 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001332534A (ja) * 2000-05-25 2001-11-30 Matsushita Electric Ind Co Ltd プラズマ処理方法及びプラズマ処理装置
TW200403753A (en) * 2002-08-09 2004-03-01 Applied Materials Inc Etch chamber with dual frequency biasing sources and a single frequency plasma generating source
US20100126668A1 (en) * 2004-06-21 2010-05-27 Tokyo Electron Limited Plasma processing apparatus and method
TW200841775A (en) * 2006-12-05 2008-10-16 Applied Materials Inc Mid-chamber gas distribution plate, tuned plasma flow control grid and electrode

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11578407B2 (en) 2018-05-21 2023-02-14 Tokyo Electron Limited Film-forming apparatus and film-forming method

Also Published As

Publication number Publication date
TW201330098A (zh) 2013-07-16
US20200227237A1 (en) 2020-07-16
KR101983866B1 (ko) 2019-09-03
TWI562232B (en) 2016-12-11
US20130059448A1 (en) 2013-03-07
CN103890916A (zh) 2014-06-25
CN106128931A (zh) 2016-11-16
WO2013036371A3 (en) 2014-05-15
US20160148786A1 (en) 2016-05-26
SG10201602732TA (en) 2016-05-30
TW201701355A (zh) 2017-01-01
JP6382719B2 (ja) 2018-08-29
US10553399B2 (en) 2020-02-04
US11670486B2 (en) 2023-06-06
CN103890916B (zh) 2016-09-07
US20230317412A1 (en) 2023-10-05
CN106128931B (zh) 2018-04-27
SG11201400364RA (en) 2014-04-28
KR20140068055A (ko) 2014-06-05
JP6671446B2 (ja) 2020-03-25
JP2019050413A (ja) 2019-03-28
WO2013036371A2 (en) 2013-03-14
JP2018037668A (ja) 2018-03-08
JP6441434B2 (ja) 2018-12-19
JP2014531753A (ja) 2014-11-27

Similar Documents

Publication Publication Date Title
TWI608544B (zh) 處理晶圓的方法
JP2014531753A5 (zh)
TWI664676B (zh) Etching method
KR101982364B1 (ko) 유전체 에칭에 대한 음이온 제어
US9034198B2 (en) Plasma etching method
US9230824B2 (en) Method of manufacturing semiconductor device
KR102099408B1 (ko) 플라즈마 에칭 방법 및 플라즈마 에칭 장치
JP4714166B2 (ja) 基板のプラズマ処理装置及びプラズマ処理方法
KR102175860B1 (ko) 실리콘 산화막을 에칭하는 방법
JP2007180358A (ja) プラズマエッチング方法およびコンピュータ読み取り可能な記憶媒体
KR102428552B1 (ko) 플라즈마 처리 방법
JP2008243568A (ja) 基板のプラズマ処理装置及びプラズマ処理方法
US9418863B2 (en) Method for etching etching target layer
TW201207932A (en) Plasma processing method and plasma processing apparatus
KR20150016498A (ko) 플라즈마 에칭 방법
TW201637092A (zh) 蝕刻方法(二)
JP2021132126A (ja) 基板処理方法および基板処理装置
JP4243615B2 (ja) 反応性イオンエッチング装置
US20230170194A1 (en) Ion energy control on electrodes in a plasma reactor
KR20230026484A (ko) 펄스식 플라즈마를 사용하여 에칭 선택도를 향상시키는 방법
JP5596434B2 (ja) エッチング方法