SG10201602732TA - Pulsed plasma chamber in dual chamber configuration - Google Patents
Pulsed plasma chamber in dual chamber configurationInfo
- Publication number
- SG10201602732TA SG10201602732TA SG10201602732TA SG10201602732TA SG10201602732TA SG 10201602732T A SG10201602732T A SG 10201602732TA SG 10201602732T A SG10201602732T A SG 10201602732TA SG 10201602732T A SG10201602732T A SG 10201602732TA SG 10201602732T A SG10201602732T A SG 10201602732TA
- Authority
- SG
- Singapore
- Prior art keywords
- chamber
- pulsed plasma
- configuration
- dual
- plasma chamber
- Prior art date
Links
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32009—Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
- H01J37/32082—Radio frequency generated discharge
- H01J37/32137—Radio frequency generated discharge controlling of the discharge by modulation of energy
- H01J37/32146—Amplitude modulation, includes pulsing
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32009—Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
- H01J37/32082—Radio frequency generated discharge
- H01J37/32091—Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32009—Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
- H01J37/32357—Generation remote from the workpiece, e.g. down-stream
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/32798—Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
- H01J37/32816—Pressure
- H01J37/32825—Working under atmospheric pressure or higher
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/311—Etching the insulating layers by chemical or physical means
- H01L21/31105—Etching inorganic layers
- H01L21/31111—Etching inorganic layers by chemical means
- H01L21/31116—Etching inorganic layers by chemical means by dry-etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67017—Apparatus for fluid treatment
- H01L21/67063—Apparatus for fluid treatment for etching
- H01L21/67069—Apparatus for fluid treatment for etching for drying etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J2237/00—Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
- H01J2237/32—Processing objects by plasma generation
- H01J2237/33—Processing objects by plasma generation characterised by the type of processing
- H01J2237/334—Etching
- H01J2237/3341—Reactive etching
Applications Claiming Priority (1)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US13/227,404 US20130059448A1 (en) | 2011-09-07 | 2011-09-07 | Pulsed Plasma Chamber in Dual Chamber Configuration |
Publications (1)
Publication Number | Publication Date |
---|---|
SG10201602732TA true SG10201602732TA (en) | 2016-05-30 |
Family
ID=47753486
Family Applications (2)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
SG11201400364RA SG11201400364RA (en) | 2011-09-07 | 2012-08-17 | Pulsed plasma chamber in dual chamber configuration |
SG10201602732TA SG10201602732TA (en) | 2011-09-07 | 2012-08-17 | Pulsed plasma chamber in dual chamber configuration |
Family Applications Before (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
SG11201400364RA SG11201400364RA (en) | 2011-09-07 | 2012-08-17 | Pulsed plasma chamber in dual chamber configuration |
Country Status (7)
Country | Link |
---|---|
US (4) | US20130059448A1 (en) |
JP (3) | JP6382719B2 (en) |
KR (1) | KR101983866B1 (en) |
CN (2) | CN103890916B (en) |
SG (2) | SG11201400364RA (en) |
TW (2) | TWI608544B (en) |
WO (1) | WO2013036371A2 (en) |
Families Citing this family (140)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US9793126B2 (en) | 2010-08-04 | 2017-10-17 | Lam Research Corporation | Ion to neutral control for wafer processing with dual plasma source reactor |
US9117767B2 (en) * | 2011-07-21 | 2015-08-25 | Lam Research Corporation | Negative ion control for dielectric etch |
US20130059448A1 (en) * | 2011-09-07 | 2013-03-07 | Lam Research Corporation | Pulsed Plasma Chamber in Dual Chamber Configuration |
US9039911B2 (en) | 2012-08-27 | 2015-05-26 | Lam Research Corporation | Plasma-enhanced etching in an augmented plasma processing system |
US9508530B2 (en) | 2011-11-21 | 2016-11-29 | Lam Research Corporation | Plasma processing chamber with flexible symmetric RF return strap |
US9083182B2 (en) | 2011-11-21 | 2015-07-14 | Lam Research Corporation | Bypass capacitors for high voltage bias power in the mid frequency RF range |
US9396908B2 (en) | 2011-11-22 | 2016-07-19 | Lam Research Corporation | Systems and methods for controlling a plasma edge region |
US9263240B2 (en) | 2011-11-22 | 2016-02-16 | Lam Research Corporation | Dual zone temperature control of upper electrodes |
US10586686B2 (en) | 2011-11-22 | 2020-03-10 | Law Research Corporation | Peripheral RF feed and symmetric RF return for symmetric RF delivery |
CN104024477B (en) * | 2011-11-23 | 2016-05-18 | 朗姆研究公司 | Multizone gas inject upper electrode system |
US9132436B2 (en) | 2012-09-21 | 2015-09-15 | Applied Materials, Inc. | Chemical control features in wafer process equipment |
US9048190B2 (en) * | 2012-10-09 | 2015-06-02 | Applied Materials, Inc. | Methods and apparatus for processing substrates using an ion shield |
US9165783B2 (en) * | 2012-11-01 | 2015-10-20 | Applied Materials, Inc. | Method of patterning a low-k dielectric film |
US9401264B2 (en) * | 2013-10-01 | 2016-07-26 | Lam Research Corporation | Control of impedance of RF delivery path |
US9337000B2 (en) | 2013-10-01 | 2016-05-10 | Lam Research Corporation | Control of impedance of RF return path |
US10256079B2 (en) | 2013-02-08 | 2019-04-09 | Applied Materials, Inc. | Semiconductor processing systems having multiple plasma configurations |
US9245761B2 (en) | 2013-04-05 | 2016-01-26 | Lam Research Corporation | Internal plasma grid for semiconductor fabrication |
US9230819B2 (en) | 2013-04-05 | 2016-01-05 | Lam Research Corporation | Internal plasma grid applications for semiconductor fabrication in context of ion-ion plasma processing |
US9490149B2 (en) * | 2013-07-03 | 2016-11-08 | Lam Research Corporation | Chemical deposition apparatus having conductance control |
US9017526B2 (en) | 2013-07-08 | 2015-04-28 | Lam Research Corporation | Ion beam etching system |
US9147581B2 (en) | 2013-07-11 | 2015-09-29 | Lam Research Corporation | Dual chamber plasma etcher with ion accelerator |
US9589799B2 (en) * | 2013-09-30 | 2017-03-07 | Lam Research Corporation | High selectivity and low stress carbon hardmask by pulsed low frequency RF power |
US10892140B2 (en) | 2018-07-27 | 2021-01-12 | Eagle Harbor Technologies, Inc. | Nanosecond pulser bias compensation |
JP6247087B2 (en) * | 2013-12-18 | 2017-12-13 | 東京エレクトロン株式会社 | Processing apparatus and method for generating active species |
JP6157385B2 (en) * | 2014-03-11 | 2017-07-05 | 東京エレクトロン株式会社 | Plasma processing apparatus and plasma processing method |
KR102222902B1 (en) * | 2014-05-12 | 2021-03-05 | 삼성전자주식회사 | Plasma apparatus and method of fabricating semiconductor device using the same |
KR20160022458A (en) | 2014-08-19 | 2016-03-02 | 삼성전자주식회사 | Plasma apparatus and methdo of operating the same |
US9355922B2 (en) | 2014-10-14 | 2016-05-31 | Applied Materials, Inc. | Systems and methods for internal surface conditioning in plasma processing equipment |
US9966240B2 (en) | 2014-10-14 | 2018-05-08 | Applied Materials, Inc. | Systems and methods for internal surface conditioning assessment in plasma processing equipment |
US11637002B2 (en) | 2014-11-26 | 2023-04-25 | Applied Materials, Inc. | Methods and systems to enhance process uniformity |
US10573496B2 (en) | 2014-12-09 | 2020-02-25 | Applied Materials, Inc. | Direct outlet toroidal plasma source |
US9793104B2 (en) | 2015-01-29 | 2017-10-17 | Aixtron Se | Preparing a semiconductor surface for epitaxial deposition |
US20160225652A1 (en) | 2015-02-03 | 2016-08-04 | Applied Materials, Inc. | Low temperature chuck for plasma processing systems |
US10475626B2 (en) * | 2015-03-17 | 2019-11-12 | Applied Materials, Inc. | Ion-ion plasma atomic layer etch process and reactor |
US9799494B2 (en) * | 2015-04-03 | 2017-10-24 | Tokyo Electron Limited | Energetic negative ion impact ionization plasma |
FR3035881B1 (en) * | 2015-05-04 | 2019-09-27 | Sidel Participations | INSTALLATION FOR PROCESSING MICROWAVE PLASMA CONTAINERS COMPRISING A SOLID STATE GENERATOR |
US9793097B2 (en) | 2015-07-27 | 2017-10-17 | Lam Research Corporation | Time varying segmented pressure control |
US9741593B2 (en) | 2015-08-06 | 2017-08-22 | Applied Materials, Inc. | Thermal management systems and methods for wafer processing systems |
US9691645B2 (en) | 2015-08-06 | 2017-06-27 | Applied Materials, Inc. | Bolted wafer chuck thermal management systems and methods for wafer processing systems |
US9349605B1 (en) * | 2015-08-07 | 2016-05-24 | Applied Materials, Inc. | Oxide etch selectivity systems and methods |
US10504700B2 (en) | 2015-08-27 | 2019-12-10 | Applied Materials, Inc. | Plasma etching systems and methods with secondary plasma injection |
EP3136419B1 (en) * | 2015-08-31 | 2018-04-18 | Total S.A. | Plasma generating apparatus and method of manufacturing patterned devices using spatially resolved plasma processing |
US9978606B2 (en) * | 2015-10-02 | 2018-05-22 | Applied Materials, Inc. | Methods for atomic level resolution and plasma processing control |
US10522371B2 (en) | 2016-05-19 | 2019-12-31 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US10504754B2 (en) | 2016-05-19 | 2019-12-10 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US11004660B2 (en) | 2018-11-30 | 2021-05-11 | Eagle Harbor Technologies, Inc. | Variable output impedance RF generator |
US11430635B2 (en) | 2018-07-27 | 2022-08-30 | Eagle Harbor Technologies, Inc. | Precise plasma control system |
US9865484B1 (en) * | 2016-06-29 | 2018-01-09 | Applied Materials, Inc. | Selective etch using material modification and RF pulsing |
US9773643B1 (en) * | 2016-06-30 | 2017-09-26 | Lam Research Corporation | Apparatus and method for deposition and etch in gap fill |
US10283330B2 (en) * | 2016-07-25 | 2019-05-07 | Lam Research Corporation | Systems and methods for achieving a pre-determined factor associated with an edge region within a plasma chamber by synchronizing main and edge RF generators |
US10629473B2 (en) | 2016-09-09 | 2020-04-21 | Applied Materials, Inc. | Footing removal for nitride spacer |
US9934942B1 (en) | 2016-10-04 | 2018-04-03 | Applied Materials, Inc. | Chamber with flow-through source |
US10546729B2 (en) | 2016-10-04 | 2020-01-28 | Applied Materials, Inc. | Dual-channel showerhead with improved profile |
US10163696B2 (en) | 2016-11-11 | 2018-12-25 | Applied Materials, Inc. | Selective cobalt removal for bottom up gapfill |
US10026621B2 (en) | 2016-11-14 | 2018-07-17 | Applied Materials, Inc. | SiN spacer profile patterning |
US11694911B2 (en) * | 2016-12-20 | 2023-07-04 | Lam Research Corporation | Systems and methods for metastable activated radical selective strip and etch using dual plenum showerhead |
US10262910B2 (en) * | 2016-12-23 | 2019-04-16 | Lam Research Corporation | Method of feature exaction from time-series of spectra to control endpoint of process |
US10242845B2 (en) * | 2017-01-17 | 2019-03-26 | Lam Research Corporation | Near-substrate supplemental plasma density generation with low bias voltage within inductively coupled plasma processing chamber |
US10431429B2 (en) | 2017-02-03 | 2019-10-01 | Applied Materials, Inc. | Systems and methods for radial and azimuthal control of plasma uniformity |
US10319739B2 (en) | 2017-02-08 | 2019-06-11 | Applied Materials, Inc. | Accommodating imperfectly aligned memory holes |
US10943834B2 (en) | 2017-03-13 | 2021-03-09 | Applied Materials, Inc. | Replacement contact process |
CN108666197B (en) * | 2017-03-31 | 2020-02-14 | 北京北方华创微电子装备有限公司 | Pulse power source and semiconductor equipment |
US11276559B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Semiconductor processing chamber for multiple precursor flow |
US11276590B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Multi-zone semiconductor substrate supports |
US20180358206A1 (en) * | 2017-06-09 | 2018-12-13 | Mattson Technology, Inc. | Plasma Processing Apparatus |
US10920320B2 (en) | 2017-06-16 | 2021-02-16 | Applied Materials, Inc. | Plasma health determination in semiconductor substrate processing reactors |
US10541246B2 (en) | 2017-06-26 | 2020-01-21 | Applied Materials, Inc. | 3D flash memory cells which discourage cross-cell electrical tunneling |
US10020183B1 (en) * | 2017-06-29 | 2018-07-10 | Lam Research Corporation | Edge roughness reduction |
US10727080B2 (en) | 2017-07-07 | 2020-07-28 | Applied Materials, Inc. | Tantalum-containing material removal |
US10541184B2 (en) | 2017-07-11 | 2020-01-21 | Applied Materials, Inc. | Optical emission spectroscopic techniques for monitoring etching |
US10043674B1 (en) | 2017-08-04 | 2018-08-07 | Applied Materials, Inc. | Germanium etching systems and methods |
US10297458B2 (en) | 2017-08-07 | 2019-05-21 | Applied Materials, Inc. | Process window widening using coated parts in plasma etch processes |
US10395894B2 (en) * | 2017-08-31 | 2019-08-27 | Lam Research Corporation | Systems and methods for achieving peak ion energy enhancement with a low angular spread |
US10510575B2 (en) * | 2017-09-20 | 2019-12-17 | Applied Materials, Inc. | Substrate support with multiple embedded electrodes |
US10777386B2 (en) * | 2017-10-17 | 2020-09-15 | Lam Research Corporation | Methods for controlling plasma glow discharge in a plasma chamber |
KR102453450B1 (en) * | 2017-10-23 | 2022-10-13 | 삼성전자주식회사 | apparatus for processing plasma, manufacturing system of semiconductor device and manufacturing method of the same |
JP7002921B2 (en) * | 2017-11-10 | 2022-01-20 | 東京エレクトロン株式会社 | Board processing method and board processing equipment |
KR101886755B1 (en) * | 2017-11-17 | 2018-08-09 | 한국원자력연구원 | Systems and methods for continuously supplying negative ions using multi-pulsed plasma sources |
CN107979910B (en) * | 2017-11-29 | 2020-06-05 | 中国人民解放军陆军工程大学 | Active control method for surface potential of dielectric material in high vacuum environment |
WO2019112849A1 (en) * | 2017-12-07 | 2019-06-13 | Lam Research Corporation | Rf pulsing within pulsing for semiconductor rf plasma processing |
US10903054B2 (en) | 2017-12-19 | 2021-01-26 | Applied Materials, Inc. | Multi-zone gas distribution systems and methods |
US11328909B2 (en) | 2017-12-22 | 2022-05-10 | Applied Materials, Inc. | Chamber conditioning and removal processes |
CN111527583B (en) * | 2017-12-27 | 2023-10-20 | 玛特森技术公司 | Plasma processing apparatus and method |
US10854426B2 (en) | 2018-01-08 | 2020-12-01 | Applied Materials, Inc. | Metal recess for semiconductor structures |
WO2019143474A1 (en) * | 2018-01-18 | 2019-07-25 | Applied Materials, Inc. | Etching apparatus and methods |
US10964512B2 (en) | 2018-02-15 | 2021-03-30 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus and methods |
US10679870B2 (en) | 2018-02-15 | 2020-06-09 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus |
TWI766433B (en) | 2018-02-28 | 2022-06-01 | 美商應用材料股份有限公司 | Systems and methods to form airgaps |
US10593560B2 (en) | 2018-03-01 | 2020-03-17 | Applied Materials, Inc. | Magnetic induction plasma source for semiconductor processes and equipment |
US10319600B1 (en) | 2018-03-12 | 2019-06-11 | Applied Materials, Inc. | Thermal silicon etch |
US10497573B2 (en) | 2018-03-13 | 2019-12-03 | Applied Materials, Inc. | Selective atomic layer etching of semiconductor materials |
US10573527B2 (en) | 2018-04-06 | 2020-02-25 | Applied Materials, Inc. | Gas-phase selective etching systems and methods |
US10490406B2 (en) | 2018-04-10 | 2019-11-26 | Appled Materials, Inc. | Systems and methods for material breakthrough |
US10699879B2 (en) | 2018-04-17 | 2020-06-30 | Applied Materials, Inc. | Two piece electrode assembly with gap for plasma control |
US10886137B2 (en) | 2018-04-30 | 2021-01-05 | Applied Materials, Inc. | Selective nitride removal |
US10555412B2 (en) | 2018-05-10 | 2020-02-04 | Applied Materials, Inc. | Method of controlling ion energy distribution using a pulse generator with a current-return output stage |
JP7126381B2 (en) * | 2018-05-21 | 2022-08-26 | 東京エレクトロン株式会社 | Film forming apparatus and film forming method |
US10755941B2 (en) | 2018-07-06 | 2020-08-25 | Applied Materials, Inc. | Self-limiting selective etching systems and methods |
US10872778B2 (en) | 2018-07-06 | 2020-12-22 | Applied Materials, Inc. | Systems and methods utilizing solid-phase etchants |
US10672642B2 (en) | 2018-07-24 | 2020-06-02 | Applied Materials, Inc. | Systems and methods for pedestal configuration |
US11532457B2 (en) | 2018-07-27 | 2022-12-20 | Eagle Harbor Technologies, Inc. | Precise plasma control system |
US11222767B2 (en) | 2018-07-27 | 2022-01-11 | Eagle Harbor Technologies, Inc. | Nanosecond pulser bias compensation |
KR102590963B1 (en) * | 2018-07-27 | 2023-10-17 | 어플라이드 머티어리얼스, 인코포레이티드 | Remote capacitively coupled plasma source with improved ion blocker |
KR20200015264A (en) | 2018-08-03 | 2020-02-12 | 삼성전자주식회사 | Wafer to wafer bonding method and wafer to wafer bonding system |
KR20230025034A (en) | 2018-08-10 | 2023-02-21 | 이글 하버 테크놀로지스, 인코포레이티드 | Plasma sheath control for rf plasma reactors |
US20200058469A1 (en) * | 2018-08-14 | 2020-02-20 | Tokyo Electron Limited | Systems and methods of control for plasma processing |
US11049755B2 (en) | 2018-09-14 | 2021-06-29 | Applied Materials, Inc. | Semiconductor substrate supports with embedded RF shield |
US10892198B2 (en) | 2018-09-14 | 2021-01-12 | Applied Materials, Inc. | Systems and methods for improved performance in semiconductor processing |
US11062887B2 (en) | 2018-09-17 | 2021-07-13 | Applied Materials, Inc. | High temperature RF heater pedestals |
US11417534B2 (en) | 2018-09-21 | 2022-08-16 | Applied Materials, Inc. | Selective material removal |
US11682560B2 (en) | 2018-10-11 | 2023-06-20 | Applied Materials, Inc. | Systems and methods for hafnium-containing film removal |
US11121002B2 (en) | 2018-10-24 | 2021-09-14 | Applied Materials, Inc. | Systems and methods for etching metals and metal derivatives |
US11476145B2 (en) | 2018-11-20 | 2022-10-18 | Applied Materials, Inc. | Automatic ESC bias compensation when using pulsed DC bias |
US11437242B2 (en) | 2018-11-27 | 2022-09-06 | Applied Materials, Inc. | Selective removal of silicon-containing materials |
US11721527B2 (en) | 2019-01-07 | 2023-08-08 | Applied Materials, Inc. | Processing chamber mixing systems |
US10920319B2 (en) * | 2019-01-11 | 2021-02-16 | Applied Materials, Inc. | Ceramic showerheads with conductive electrodes |
KR20210107716A (en) | 2019-01-22 | 2021-09-01 | 어플라이드 머티어리얼스, 인코포레이티드 | Feedback loop to control the pulse voltage waveform |
US11508554B2 (en) | 2019-01-24 | 2022-11-22 | Applied Materials, Inc. | High voltage filter assembly |
TW202113121A (en) | 2019-05-29 | 2021-04-01 | 美商蘭姆研究公司 | High selectivity, low stress, and low hydrogen diamond-like carbon hardmasks by high power pulsed low frequency rf |
EP4005082A4 (en) | 2019-07-29 | 2023-08-09 | AES Global Holdings, Pte. Ltd. | Multiplexed power generator output with channel offsets for pulsed driving of multiple loads |
US11043387B2 (en) * | 2019-10-30 | 2021-06-22 | Applied Materials, Inc. | Methods and apparatus for processing a substrate |
TWI778449B (en) | 2019-11-15 | 2022-09-21 | 美商鷹港科技股份有限公司 | High voltage pulsing circuit |
US20210159052A1 (en) | 2019-11-27 | 2021-05-27 | Applied Materials, Inc. | Processing Chamber With Multiple Plasma Units |
KR102591378B1 (en) * | 2019-12-24 | 2023-10-19 | 이글 하버 테크놀로지스, 인코포레이티드 | Nanosecond Pulsar RF Isolation for Plasma Systems |
TW202209401A (en) * | 2020-05-01 | 2022-03-01 | 美商得昇科技股份有限公司 | Methods and apparatus for pulsed inductively coupled plasma for surface treatment processing |
CN113838730A (en) * | 2020-06-08 | 2021-12-24 | 中微半导体设备(上海)股份有限公司 | Gas shield ring, plasma processing apparatus and method for regulating and controlling polymer distribution |
US11189462B1 (en) | 2020-07-21 | 2021-11-30 | Tokyo Electron Limited | Ion stratification using bias pulses of short duration |
US11462388B2 (en) | 2020-07-31 | 2022-10-04 | Applied Materials, Inc. | Plasma processing assembly using pulsed-voltage and radio-frequency power |
US11901157B2 (en) | 2020-11-16 | 2024-02-13 | Applied Materials, Inc. | Apparatus and methods for controlling ion energy distribution |
US11798790B2 (en) | 2020-11-16 | 2023-10-24 | Applied Materials, Inc. | Apparatus and methods for controlling ion energy distribution |
US11495470B1 (en) | 2021-04-16 | 2022-11-08 | Applied Materials, Inc. | Method of enhancing etching selectivity using a pulsed plasma |
TW202309969A (en) * | 2021-05-06 | 2023-03-01 | 日商東京威力科創股份有限公司 | Plasma processing apparatus and endpoint detection method |
US11948780B2 (en) | 2021-05-12 | 2024-04-02 | Applied Materials, Inc. | Automatic electrostatic chuck bias compensation during plasma processing |
US11791138B2 (en) | 2021-05-12 | 2023-10-17 | Applied Materials, Inc. | Automatic electrostatic chuck bias compensation during plasma processing |
US11810760B2 (en) | 2021-06-16 | 2023-11-07 | Applied Materials, Inc. | Apparatus and method of ion current compensation |
US11569066B2 (en) | 2021-06-23 | 2023-01-31 | Applied Materials, Inc. | Pulsed voltage source for plasma processing applications |
US11776788B2 (en) | 2021-06-28 | 2023-10-03 | Applied Materials, Inc. | Pulsed voltage boost for substrate processing |
US11476090B1 (en) | 2021-08-24 | 2022-10-18 | Applied Materials, Inc. | Voltage pulse time-domain multiplexing |
WO2023042857A1 (en) * | 2021-09-15 | 2023-03-23 | 東京エレクトロン株式会社 | Plasma treatment device |
CN117769757A (en) * | 2022-07-25 | 2024-03-26 | 株式会社日立高新技术 | Plasma processing method |
Family Cites Families (60)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US4263088A (en) * | 1979-06-25 | 1981-04-21 | Motorola, Inc. | Method for process control of a plasma reaction |
US5367139A (en) * | 1989-10-23 | 1994-11-22 | International Business Machines Corporation | Methods and apparatus for contamination control in plasma processing |
JPH0689880A (en) * | 1992-09-08 | 1994-03-29 | Tokyo Electron Ltd | Etching equipment |
JP2764524B2 (en) * | 1993-09-28 | 1998-06-11 | 名古屋大学長 | Radical control device |
JPH0845858A (en) * | 1994-07-27 | 1996-02-16 | Sony Corp | Plasma treatment system |
JP3360461B2 (en) * | 1995-01-31 | 2002-12-24 | ソニー株式会社 | Pretreatment method for metal film formation process |
US6794301B2 (en) * | 1995-10-13 | 2004-09-21 | Mattson Technology, Inc. | Pulsed plasma processing of semiconductor substrates |
JP3386651B2 (en) * | 1996-04-03 | 2003-03-17 | 株式会社東芝 | Semiconductor device manufacturing method and semiconductor manufacturing apparatus |
JP3561080B2 (en) * | 1996-04-23 | 2004-09-02 | 松下電器産業株式会社 | Plasma processing apparatus and plasma processing method |
EP0821395A3 (en) * | 1996-07-19 | 1998-03-25 | Tokyo Electron Limited | Plasma processing apparatus |
JPH1079372A (en) * | 1996-09-03 | 1998-03-24 | Matsushita Electric Ind Co Ltd | Plasma treating method and plasma treating equipment |
US6214162B1 (en) * | 1996-09-27 | 2001-04-10 | Tokyo Electron Limited | Plasma processing apparatus |
JP3317209B2 (en) * | 1997-08-12 | 2002-08-26 | 東京エレクトロンエイ・ティー株式会社 | Plasma processing apparatus and plasma processing method |
JPH11219938A (en) * | 1998-02-02 | 1999-08-10 | Matsushita Electron Corp | Plasma etching method |
JP4212210B2 (en) * | 1999-12-07 | 2009-01-21 | 株式会社小松製作所 | Surface treatment equipment |
US6350317B1 (en) * | 1999-12-30 | 2002-02-26 | Lam Research Corporation | Linear drive system for use in a plasma processing system |
US6261408B1 (en) * | 2000-02-16 | 2001-07-17 | Applied Materials, Inc. | Method and apparatus for semiconductor processing chamber pressure control |
JP2001332534A (en) * | 2000-05-25 | 2001-11-30 | Matsushita Electric Ind Co Ltd | Plasma processing method and plasma processing apparatus |
JP4371543B2 (en) * | 2000-06-29 | 2009-11-25 | 日本電気株式会社 | Remote plasma CVD apparatus and film forming method |
US7037813B2 (en) * | 2000-08-11 | 2006-05-02 | Applied Materials, Inc. | Plasma immersion ion implantation process using a capacitively coupled plasma source having low dissociation and low minimum plasma voltage |
US6492774B1 (en) * | 2000-10-04 | 2002-12-10 | Lam Research Corporation | Wafer area pressure control for plasma confinement |
US20030013314A1 (en) * | 2001-07-06 | 2003-01-16 | Chentsau Ying | Method of reducing particulates in a plasma etch chamber during a metal etch process |
JP2005514762A (en) * | 2001-12-20 | 2005-05-19 | 東京エレクトロン株式会社 | Method and apparatus comprising a magnetic filter for plasma processing a workpiece |
EP1515703A1 (en) * | 2002-06-21 | 2005-03-23 | Transform Pharmaceuticals, Inc. | Pharmaceutical compositions with improved dissolution |
US20040025791A1 (en) * | 2002-08-09 | 2004-02-12 | Applied Materials, Inc. | Etch chamber with dual frequency biasing sources and a single frequency plasma generating source |
JP2004153240A (en) * | 2002-10-09 | 2004-05-27 | Advanced Lcd Technologies Development Center Co Ltd | Plasma processing apparatus |
US7976673B2 (en) * | 2003-05-06 | 2011-07-12 | Lam Research Corporation | RF pulsing of a narrow gap capacitively coupled reactor |
US7144521B2 (en) * | 2003-08-22 | 2006-12-05 | Lam Research Corporation | High aspect ratio etch using modulation of RF powers of various frequencies |
US7838430B2 (en) * | 2003-10-28 | 2010-11-23 | Applied Materials, Inc. | Plasma control using dual cathode frequency mixing |
US20050103265A1 (en) * | 2003-11-19 | 2005-05-19 | Applied Materials, Inc., A Delaware Corporation | Gas distribution showerhead featuring exhaust apertures |
US7695590B2 (en) * | 2004-03-26 | 2010-04-13 | Applied Materials, Inc. | Chemical vapor deposition plasma reactor having plural ion shower grids |
US7740737B2 (en) * | 2004-06-21 | 2010-06-22 | Tokyo Electron Limited | Plasma processing apparatus and method |
US7381291B2 (en) * | 2004-07-29 | 2008-06-03 | Asm Japan K.K. | Dual-chamber plasma processing apparatus |
US7364623B2 (en) * | 2005-01-27 | 2008-04-29 | Lam Research Corporation | Confinement ring drive |
US7829243B2 (en) * | 2005-01-27 | 2010-11-09 | Applied Materials, Inc. | Method for plasma etching a chromium layer suitable for photomask fabrication |
JP2007088199A (en) * | 2005-09-22 | 2007-04-05 | Canon Inc | Processing equipment |
US20070264427A1 (en) * | 2005-12-21 | 2007-11-15 | Asm Japan K.K. | Thin film formation by atomic layer growth and chemical vapor deposition |
US7645357B2 (en) * | 2006-04-24 | 2010-01-12 | Applied Materials, Inc. | Plasma reactor apparatus with a VHF capacitively coupled plasma source of variable frequency |
US8192576B2 (en) * | 2006-09-20 | 2012-06-05 | Lam Research Corporation | Methods of and apparatus for measuring and controlling wafer potential in pulsed RF bias processing |
US20080193673A1 (en) * | 2006-12-05 | 2008-08-14 | Applied Materials, Inc. | Method of processing a workpiece using a mid-chamber gas distribution plate, tuned plasma flow control grid and electrode |
US8043430B2 (en) * | 2006-12-20 | 2011-10-25 | Lam Research Corporation | Methods and apparatuses for controlling gas flow conductance in a capacitively-coupled plasma processing chamber |
US8357435B2 (en) * | 2008-05-09 | 2013-01-22 | Applied Materials, Inc. | Flowable dielectric equipment and processes |
US20090277587A1 (en) * | 2008-05-09 | 2009-11-12 | Applied Materials, Inc. | Flowable dielectric equipment and processes |
US9493875B2 (en) * | 2008-09-30 | 2016-11-15 | Eugene Technology Co., Ltd. | Shower head unit and chemical vapor deposition apparatus |
US8043434B2 (en) * | 2008-10-23 | 2011-10-25 | Lam Research Corporation | Method and apparatus for removing photoresist |
JP5221403B2 (en) * | 2009-01-26 | 2013-06-26 | 東京エレクトロン株式会社 | Plasma etching method, plasma etching apparatus and storage medium |
US8475673B2 (en) * | 2009-04-24 | 2013-07-02 | Lam Research Company | Method and apparatus for high aspect ratio dielectric etch |
US9287086B2 (en) * | 2010-04-26 | 2016-03-15 | Advanced Energy Industries, Inc. | System, method and apparatus for controlling ion energy distribution |
US8749053B2 (en) * | 2009-06-23 | 2014-06-10 | Intevac, Inc. | Plasma grid implant system for use in solar cell fabrications |
US8404598B2 (en) * | 2009-08-07 | 2013-03-26 | Applied Materials, Inc. | Synchronized radio frequency pulsing for plasma etching |
WO2011038344A2 (en) * | 2009-09-28 | 2011-03-31 | Lam Research Corporation | Unitized confinement ring arrangements and methods thereof |
US20110177694A1 (en) * | 2010-01-15 | 2011-07-21 | Tokyo Electron Limited | Switchable Neutral Beam Source |
US9190289B2 (en) * | 2010-02-26 | 2015-11-17 | Lam Research Corporation | System, method and apparatus for plasma etch having independent control of ion generation and dissociation of process gas |
US9184028B2 (en) * | 2010-08-04 | 2015-11-10 | Lam Research Corporation | Dual plasma volume processing apparatus for neutral/ion flux control |
US20130059448A1 (en) * | 2011-09-07 | 2013-03-07 | Lam Research Corporation | Pulsed Plasma Chamber in Dual Chamber Configuration |
US9793126B2 (en) * | 2010-08-04 | 2017-10-17 | Lam Research Corporation | Ion to neutral control for wafer processing with dual plasma source reactor |
US20130168352A1 (en) * | 2011-12-28 | 2013-07-04 | Andreas Fischer | Methods and apparatuses for controlling plasma properties by controlling conductance between sub-chambers of a plasma processing chamber |
US9881772B2 (en) * | 2012-03-28 | 2018-01-30 | Lam Research Corporation | Multi-radiofrequency impedance control for plasma uniformity tuning |
US9255326B2 (en) * | 2013-03-12 | 2016-02-09 | Novellus Systems, Inc. | Systems and methods for remote plasma atomic layer deposition |
US9425058B2 (en) * | 2014-07-24 | 2016-08-23 | Applied Materials, Inc. | Simplified litho-etch-litho-etch process |
-
2011
- 2011-09-07 US US13/227,404 patent/US20130059448A1/en not_active Abandoned
-
2012
- 2012-08-17 CN CN201280043579.9A patent/CN103890916B/en active Active
- 2012-08-17 SG SG11201400364RA patent/SG11201400364RA/en unknown
- 2012-08-17 SG SG10201602732TA patent/SG10201602732TA/en unknown
- 2012-08-17 CN CN201610643245.5A patent/CN106128931B/en active Active
- 2012-08-17 JP JP2014529751A patent/JP6382719B2/en active Active
- 2012-08-17 WO PCT/US2012/051460 patent/WO2013036371A2/en active Application Filing
- 2012-08-17 KR KR1020147006299A patent/KR101983866B1/en active IP Right Grant
- 2012-09-07 TW TW105129203A patent/TWI608544B/en active
- 2012-09-07 TW TW101132728A patent/TWI562232B/en active
-
2016
- 2016-01-29 US US15/011,112 patent/US10553399B2/en active Active
-
2017
- 2017-10-13 JP JP2017198963A patent/JP6441434B2/en active Active
-
2018
- 2018-11-21 JP JP2018217816A patent/JP6671446B2/en active Active
-
2020
- 2020-03-27 US US16/832,907 patent/US11670486B2/en active Active
-
2023
- 2023-06-06 US US18/330,262 patent/US20230317412A1/en active Pending
Also Published As
Publication number | Publication date |
---|---|
JP6382719B2 (en) | 2018-08-29 |
JP6441434B2 (en) | 2018-12-19 |
JP6671446B2 (en) | 2020-03-25 |
TW201330098A (en) | 2013-07-16 |
US20130059448A1 (en) | 2013-03-07 |
JP2018037668A (en) | 2018-03-08 |
JP2014531753A (en) | 2014-11-27 |
KR101983866B1 (en) | 2019-09-03 |
US20160148786A1 (en) | 2016-05-26 |
JP2019050413A (en) | 2019-03-28 |
WO2013036371A3 (en) | 2014-05-15 |
KR20140068055A (en) | 2014-06-05 |
TWI608544B (en) | 2017-12-11 |
CN106128931B (en) | 2018-04-27 |
TWI562232B (en) | 2016-12-11 |
TW201701355A (en) | 2017-01-01 |
US20200227237A1 (en) | 2020-07-16 |
CN106128931A (en) | 2016-11-16 |
US20230317412A1 (en) | 2023-10-05 |
SG11201400364RA (en) | 2014-04-28 |
US11670486B2 (en) | 2023-06-06 |
US10553399B2 (en) | 2020-02-04 |
WO2013036371A2 (en) | 2013-03-14 |
CN103890916A (en) | 2014-06-25 |
CN103890916B (en) | 2016-09-07 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
TWI562232B (en) | Pulsed plasma chamber in dual chamber configuration | |
SG10201608391VA (en) | Inert-dominant pulsing in plasma processing | |
IL230680A0 (en) | Dual chamber device | |
PL2739649T3 (en) | Fragmenty p97 o aktywności transferowej | |
TWI561292B (en) | Plasma torch | |
ZA201203998B (en) | Plasma separation | |
EP2693075A4 (en) | Front fork | |
GB2502243B (en) | Ion detection | |
IL229990A0 (en) | N-oxy pyrazolo-triazepine-dione derivatives | |
SG2014008270A (en) | Rapid thermal processing chamber | |
EP2707598A4 (en) | Plasma micro-thruster | |
EP2711534A4 (en) | Resonator | |
EP2790472A4 (en) | Plasma generator | |
TWI562227B (en) | Etch with pulsed bias | |
SG11201504651QA (en) | Plasma source | |
EP2783159A4 (en) | Combustion chamber | |
EP2688448A4 (en) | Brew chamber packet ejector | |
GB201316178D0 (en) | Plasma source | |
EP2725603A4 (en) | Plasma microwave cavity | |
GB201116866D0 (en) | Smoking articles | |
GB201119171D0 (en) | Gas treatment | |
GB2489220B (en) | Magnetron | |
AU346156S (en) | Plasma gun | |
AU345774S (en) | Plasma gun | |
EP2677903A4 (en) | Shelf arrangement |