JP2019050413A - デュアルチャンバ構成のパルスプラズマチャンバ - Google Patents

デュアルチャンバ構成のパルスプラズマチャンバ Download PDF

Info

Publication number
JP2019050413A
JP2019050413A JP2018217816A JP2018217816A JP2019050413A JP 2019050413 A JP2019050413 A JP 2019050413A JP 2018217816 A JP2018217816 A JP 2018217816A JP 2018217816 A JP2018217816 A JP 2018217816A JP 2019050413 A JP2019050413 A JP 2019050413A
Authority
JP
Japan
Prior art keywords
chamber
plasma
period
power supply
frequency
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2018217816A
Other languages
English (en)
Other versions
JP6671446B2 (ja
Inventor
マラクタノフ・アレクセイ
Marakhtanov Alexei
ディンドサ・ラジンダー
Rajinder Dhindsa
ハドソン・エリック
Eric Hudson
ベイリー・サード・アンドリュー・ディ.
D Bailey Andrew Iii
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2019050413A publication Critical patent/JP2019050413A/ja
Application granted granted Critical
Publication of JP6671446B2 publication Critical patent/JP6671446B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32146Amplitude modulation, includes pulsing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32816Pressure
    • H01J37/32825Working under atmospheric pressure or higher
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3341Reactive etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

【課題】所望のプラズマ処理条件を達成するためのデュアルチャンバ構成のパルスプラズマチャンバを提供する。【解決手段】半導体ウエハ処理装置は、上側チャンバ414を下側チャンバ420に流体連通させるプレートによって隔てられたデュアルチャンバ構成で、上側チャンバ内の上側電極に接続された第1の高周波(RF)電源の電圧および周波数を設定、動作可能とし、下側チャンバ内の下側電極に接続された第2のRF電源によって生成されるパルスRF信号の電圧、周波数、オン期間の持続時間およびオフ期間の持続時間を設定するよう動作可能である。システムコントローラは、チャンバの動作中にプレートを通して上側チャンバから下側チャンバに流れる種の流れを制御する。種の流れは、陰イオンエッチングと、オフ期間のアフターグロー中のウエハ表面における過剰な正電荷の中和と、オン期間中の下側チャンバにおけるプラズマの再点火と、を支援する。【選択図】図4

Description

本発明は、半導体デバイスの誘電体エッチングのための方法、システム、および、コンピュータプログラムに関し、特に、デュアルモジュール容量結合プラズマ(CCP)チャンバにおける半導体デバイスの誘電体エッチングのための方法、システム、および、コンピュータプログラムに関する。
集積回路の製造は、ドープシリコンの領域を含むシリコン基板(ウエハ)を化学反応プラズマに暴露させる工程を含んでおり、その工程で、サブミクロンのデバイスフィーチャ(例えば、トランジスタ、コンデンサなど)が基板上にエッチングされる。第1の層が加工されると、いくつかの絶縁(誘電体)層が第1の層の上に形成され、ホール(ビアとも呼ばれる)およびトレンチが、導電性のインターコネクタを配置するために材料にエッチングされる。
SiOは、半導体製造で用いられる一般的な誘電体である。SiOエッチングに用いられるプラズマは、しばしば、アルゴン(Ar)および酸素(O)ガスと共に、四フッ化炭素CFおよびオクタフルオロシクロブタン(C−C)などのフッ化炭素ガスを含む。プラズマという用語は、構成原子および分子が部分的または完全にイオン化されたガスを指すために用いられる。容量性高周波(RF)電力結合が、低い解離速度、好ましい比較的大きい不動態化分子、および、表面における高いイオンエネルギを理由に、プラズマを点火および維持するためにしばしば用いられる。シリコン基板へのイオンエネルギおよびイオン束を独立制御するために、デュアル周波数容量放電(DF−CCP)が用いられることがある。
半導体ウエハ製造に用いられる現行のプラズマ処理システムは、ラジカル分離、ラジカル束、イオンエネルギ、および、ウエハに供給されるイオン束を制御するために、相互に大きく依存した制御パラメータを利用する。例えば、現行のプラズマ処理システムは、ウエハの存在下で生成された単一のプラズマを制御することによって、必要なラジカル分離、ラジカル束、イオンエネルギ、および、イオン束を達成しようとする。残念ながら、化学物質の解離およびラジカルの形成は、イオン生成およびプラズマ密度に結びついており、所望のプラズマ処理条件を達成するために協調しない。
一部の半導体処理装置は、パルスRF電源を用いる。現在のパルスRFプラズマ技術は、プラズマが止まるRFのオフ期間中にアフターグロープラズマの制御を提供しない。通例、RFのオフ期間中には、プラズマ電位が崩壊し、電子がチャンバの壁へ逸出する。アフターグロー中、電子密度が低下し、陰イオン密度が増大する。次いで、イオンも壁へと逸出する。荷電種の動態は、チャンバ内の電荷の分布、ひいては、そのエッチング特性を決定するが、残念ながら、これらの動態および荷電種の流束は、ほとんど制御されていない。アフターグロー期間に利用できる制御は、変調周波数およびデューティサイクルのみである。
パルスプラズマ技術の別の問題は、RF電力がオンになった時のプラズマ再点火である。プラズマおよびアフターグローがRFのオフ期間中に完全に消える場合、プラズマの再点火には、高いRF電圧レベルが必要になる。さらに、特に、低ガス圧での動作時に、RFの問題により支障が生じうる。
実施形態は、このような課題に対処するものである。
本発明の実施形態は、デュアルチャンバ構成のパルスプラズマチャンバで半導体基板を処理するためのシステム、方法、および、コンピュータプログラムを提供する。
本発明は、処理、装置、システム、デバイス、または、コンピュータ読み取り可能な媒体に記録された方法など、種々の形態で実施できることを理解されたい。以下では、本発明の実施形態をいくつか説明する。
一実施形態では、上側チャンバを下側チャンバに流体連通させるプレートによって隔てられた上側チャンバおよび下側チャンバを備えたウエハ処理装置が、連続波(CW)コントローラと、パルスコントローラと、システムコントローラと、を備える。CWコントローラは、上側チャンバ内の上側電極に接続された第1の高周波(RF)電源の電圧および周波数を設定するよう動作可能である。パルスコントローラは、下側チャンバ内の下側電極に接続された第2のRF電源によって生成されるパルスRF信号の電圧、周波数、オン期間の持続時間、および、オフ期間の持続時間を設定するよう動作可能である。さらに、システムコントローラは、チャンバの動作中にプレートを通して上側チャンバから下側チャンバに流れる種の流れを制御するために、CWコントローラおよびパルスコントローラのパラメータを設定するよう動作可能である。種の流れは、オフ期間のアフターグロー中の陰イオン密度の制御と、オン期間中の下側チャンバにおけるプラズマの再点火と、を支援する。
別の実施形態では、上側チャンバを下側チャンバに流体連通させるプレートによって隔てられた上側チャンバおよび下側チャンバを備えたウエハ処理装置でウエハを処理するための方法が、上側チャンバ内の上側電極に接続された第1のRF電源によって生成される連続高周波(RF)信号のための第1のパラメータを設定する動作を備える。第1のパラメータは、第1の電圧および第1の周波数を含む。さらに、方法は、下側チャンバ内の下側電極に接続された第2のRF電源によって生成されるパルスRF信号のための第2のパラメータを設定する動作を備える。第2のパラメータは、第2の電圧、第2の周波数、オン期間の持続時間、および、オフ期間の持続時間を含む。連続RF信号は上側電極に印加され、パルスRF信号は下側電極に印加される。第1のパラメータおよび第2のパラメータを設定することにより、チャンバの動作中に上側チャンバから下側チャンバに流れる種の流れを制御する。種の流れは、オフ期間のアフターグロー中の陰イオン密度の制御と、オン期間中の下側チャンバにおけるプラズマの再点火と、を支援する。
さらに別の実施形態では、上側チャンバを下側チャンバに流体連通させるプレートによって隔てられた上側チャンバおよび下側チャンバを備えたウエハ処理装置が、CWコントローラと、パルスコントローラと、システムコントローラと、を備える。CWコントローラは、上側チャンバ内の上側電極に接続された第1の高周波(RF)電源のための第1のパラメータを設定するよう動作可能であり、パルスコントローラは、下側チャンバ内の下側電極に接続された第2のRF電源によって生成される第2のパルスRF信号のための第2のパラメータを設定するよう動作可能である。パルスコントローラは、さらに、下側電極に接続された第3のRF電源によって生成される第3のパルスRF信号のための第3のパラメータを設定するよう動作可能である。さらに、システムコントローラは、チャンバの動作中にプレートを通して上側チャンバから下側チャンバに流れる種の流れを制御するために、第1、第2、および、第3のパラメータを転送するよう動作可能である。種の流れは、オフ期間のアフターグロー中の陰イオン密度の制御と、オン期間中の下側チャンバにおけるプラズマの再点火と、を支援する。
添付の図面を参照して行う以下の詳細な説明から、別の態様が明らかになる。
本発明は、添付の図面に関連して行う以下の説明を参照することによって最も良く理解できる。
一実施形態に従って、エッチングチャンバを示す図。
一実施形態に従って、パルスプラズマチャンバの挙動を示す図。
一実施形態に従って、プラズマ密度に対するRF電力周波数およびチャンバ圧力の影響を示す図。
本発明の一実施形態に従って、2つのチャンバを備えた半導体ウエハ処理装置を示す図。
本発明の一実施形態に従って、半導体ウエハ処理装置を示す図。
本発明の一実施形態に従って、上側プラズマと下側プラズマとを含む図5のチャンバを示す図。
一実施形態に従って、正規化したイオン束をプレートの厚さの関数として示す図。
本発明の一実施形態に従って、半導体ウエハ処理装置を動作させるためのアルゴリズムを示すフローチャート。
本発明の一実施形態に従って、ウエハを処理するためのアルゴリズムを示すフローチャート。
本発明の実施形態を実施するためのコンピュータシステムを示す概略図。
以下の実施形態は、デュアルチャンバ構成のパルスプラズマチャンバで半導体基板を処理するためのシステム、方法、および、コンピュータプログラムを提供する。本実施形態は、これらの具体的な詳細事項の一部またはすべてがなくとも実施可能であることが明らかである。また、本実施形態が不必要に不明瞭となることを避けるため、周知の処理動作の詳細な説明は省略した。
図1は、一実施形態に従って、エッチングチャンバを示す。2つの電極の間に電場を励起することが、エッチングチャンバ内でRFガス放電を得るための方法の1つである。電極間に振動電圧が印加された時、得られた放電は、容量結合プラズマ(CPC)放電と呼ばれる。
プラズマは、電子中性衝突によって起きた様々な分子の解離によって生成される様々な化学反応性の副生成物を得るために、安定した原料ガスを用いて生成されうる。エッチングの化学的側面は、中性ガス分子およびそれらの解離した副生成物と、エッチング対象の表面の分子との化学反応を起こし、揮発性分子を生成することを含んでおり、かかる揮発性分子はポンプ除去されうる。プラズマが生成されると、壁からプラズマを隔てる空間電荷シースを横切って陽イオンがプラズマから加速され、ウエハの表面から材料を除去するのに十分なエネルギでウエハ表面に衝突する。これは、イオン衝撃またはイオンスパッタリングとして知られる。しかしながら、一部の工業用プラズマは、純粋に物理的な手段によって表面を効率的にエッチングするのに十分なエネルギを有するイオンを生成しない。中性ガスエッチングおよびイオン衝撃の両方を組み合わせた作用が、各方法の効果を単に足し合わせるよりも速いエッチング速度を生み出すことがわかった。
一実施形態において、異方性および選択エッチング能があることから、CFおよびC−Cなどのフッ化炭素ガスが誘電体エッチング処理に用いられるが、本発明の原理は、その他のプラズマ生成ガスにも適用できる。フッ化炭素ガスは容易に解離されて、より小さい分子および原子ラジカルになる。これらの化学反応性副生成物は、誘電材料をエッチング除去する。一実施形態において、誘電材料は低誘電率デバイスのためのSiOまたはSiOCHであってよい。
図1のチャンバは、接地された上側電極104と、低周波RF発生器118および高周波RF発生器116によって電力供給される下側電極108と、を備える。下側電極108は、整合回路網114を介して、低周波RF発生器118および高周波RF発生器116に接続されている。一実施形態において、低周波RF発生器118は2MHzのRF周波数を有し、高周波RF発生器116は27MHzのRF周波数を有する。
図1のチャンバは、チャンバにガスを入力するために上側電極104上にガスシャワーヘッドを備えており、チャンバからガスをポンプで排出することを可能にする穴のあいた閉じ込めリング112を備える。基板106がチャンバ内に存在する時、ウエハ表面上に均一なエッチングをするためにプラズマ102の下面に均一なRF場が存在するように、シリコンフォーカスリング110が基板に隣接して配置される。
一実施形態において、低周波RF発生器118はパルシングするが、高周波RF発生器116はパルシングしない。別の実施形態では、RF発生器は共にパルシングし、さらに別の実施形態では、高周波RF発生器116はパルシングするが、低周波RF発生器118はパルシングせず、すなわち、低周波RF発生器118は、ウエハ処理中には常にオンになっている。
図2は、一実施形態に従って、パルスプラズマチャンバの挙動を示す。図1に関連するパルスプラズマチャンバは、1つのパルスRF電源を備える。グラフ202は、RF電源の電圧を示しており、RF電源がオン状態のオン期間と、RF電源がオフ状態のオフ期間と、を含む。グラフ204は、RF電源の電力が2つのレベル、すなわち、ゼロより大きいオン期間中の第1のレベルおよびゼロに等しい(つまり、RF電力がオフである)オフ期間中の第2のレベル、を有することを示している。
オン期間は2つの段階を有する:すなわち、プラズマが点火されている(すなわち、ターンオン)第1の段階、および、プラズマがチャンバ内に存在する定常状態に対応する第2の段階である。ターンオン段階中に、プラズマが点火すると、プラズマシースが生じて変化する。電子の平均エネルギは比較的高く、イオン束密度は低い。さらに、電力は、プラズマ点火中に変化するプラズマインピーダンスにより、ターンオン段階にはうまく整合されない。定常状態段階には、電子密度はほぼ一定であり、陽イオン束密度が大きい。電力は、ほぼ一定のプラズマインピーダンスと良好に整合され、プラズマシートが安定的に振動する。
オフ期間は2つの段階を有する:すなわち、プラズマがオフにされている第1の段階(ターンオフ)、および、「アフターグロー後期」と呼ばれる第2の段階である。ターンオフ段階には、電子の平均エネルギが急速に減少し、イオン束密度が急速に低下し、プラズマ電位が低くなる。プラズマシースは、電子密度が低下すると崩壊する。アフターグロー後期段階には、電力レベルはゼロになり、電子の平均エネルギは小さくなる。また、イオン束密度も小さく、陰イオンがチャンバの表面に到達しうる。プラズマシースは、電子密度が低下すると崩壊する。
グラフ206は、オン期間およびオフ期間中に電子エネルギが変化する様子を示す。オン期間中、電子エネルギは高く、オフ期間中、電子エネルギはゼロまで減少する。グラフ208は、電子密度220および陽イオン密度222を示す。陽イオン密度222は、オン期間中に高く、オフ期間に減少する。したがって、陽イオンによるエッチングは、主にオン期間中に起きる。
グラフ210は、経時的にプラズマ電位の変化を示す。上述のように、プラズマ電位は、ターンオン段階の最初に急上昇し、次いで、定常値に至る。ターンオフ段階中に、プラズマ電位は、ゼロの値に達するまで減衰する。グラフ212は、陽イオン束の値を示しており、その値は、オン期間中はほぼ一定であり、オフ期間に速やかに0まで減少する。
さらに、グラフ214は、経時的に陰イオン束の値を示す。陰イオン束は、オン期間中には実質的に0であるが、オフ期間に正のスパイクを有しており、それが、オフ期間中に、陰イオンによるウエハのエッチング、および、ウエハ表面上の過度の正電荷の中和を引き起こす。
RF電源のパルシングは、非パルスRF電源と比較して、トレンチング、ノッチング、および、帯電損傷を低減できるため、エッチング性能を向上させる。電荷が、連続放電中にウェルの上部および下部の間に蓄積して、イオン偏向を引き起こしうる。アフターグローレジームでの低電子密度により、より多くの陰イオンおよび電子が、ウェル底部へと引き付けられて、ウェル底部に蓄積しうる正電荷を中和することが可能になるため、電荷蓄積をパルス放電で減少させることができる。
図3は、一実施形態に従って、プラズマ密度に対するRF電力周波数およびチャンバ圧力の影響を示す。図3は、チャンバにおけるRF電力周波数および圧力の様々な条件下で非パルスプラズマチャンバで取られたいくつかの測定値を示す。酸素および5%アルゴンを含むチャンバにおいて、400Wの単一RF電源を用いて、測定を行った。
ライン302は、異なる圧力レベルおよび2MHzのRF周波数での陽イオン束の値を示す。チャンバ内の圧力が上昇するにつれ、陰イオン束は増大し、約300mTorrでおよそ最大陽イオン束に至る。したがって、低RF周波数(2MHz)では、チャンバは、高圧でより効率的である。ライン306は、RF周波数が27MHzの時の値を示す。この場合、流束は、圧力が約100mTorrになるまで次第に増大し、その後は実質的に一定になる。ライン304は、60MHzのRF周波数を用いた時の流束の値を示す。この高周波数では、チャンバは、低圧でより効率的であり、圧力が上昇すると効率的でなくなる。
一般に、パルスRF電力を用いる場合、低圧でチャンバを作動させることがより望ましいため、イオンは穴の深くまで到達しうる。しかしながら、低圧での作動時には高圧での作動時よりも電子が少ないので、低圧プラズマチャンバ内でプラズマを点火することは比較的難しい。
本発明の実施形態は、下側チャンバがパルス化され上側チャンバがパルス化されていないデュアルチャンバ構成を利用する。図4〜図6を参照して以下で詳述するように、2つのチャンバは、上側チャンバから下側チャンバへの種の流れを提供する穴あきグリッドによって隔てられている。電子が、上側プラズマを逸出して下側チャンバに流れ、プラズマの再点火を助ける。下側チャンバ内により多くの電子が存在するため、プラズマの再点火は、より容易である。したがって、デュアルチャンバを利用すれば、低圧チャンバおよびパルスRF電源を同時に実現することが容易になる。
図4は、本発明の一実施形態に従って、2つのチャンバを備えた半導体ウエハ処理装置を示す。半導体ウエハ処理装置は、デュアル空間プラズマ源を備える。上側チャンバ414は、連続波(CW)ラジカル制御プラズマ(RCP)であり、本明細書ではプレートまたはグリッドとも呼ばれる穴あき接地電極424によって下側パルスRF容量プラズマチャンバ420から隔てられている。下側空間は、パルスCCPプラズマチャンバであり、上側空間は、下側空間に注入されるラジカル、電子、および、イオンの供給源として機能する。
パルス下側チャンバRFのオフ期間におけるアフターグロー中、下側チャンバ内の中性種および荷電種の流束は、上側チャンバにおけるパラメータを調整することによって制御できる。また、RCP上側チャンバからの荷電種を下側チャンバに流すことは、RFのオン期間中の下側プラズマ418の再点火を助ける。一実施形態において、アフターグローおよび再点火は、上側チャンバ内で異なるプラズマ源を用いることによって制御される。例えば、上側チャンバ内で誘電結合プラズマまたはヘリコンプラズマを用いて制御される。
上側プラズマ416の供給源が下側チャンバ内のプラズマアフターグローの制御を提供し、基板422をエッチングするための荷電種動態の制御を提供するので、この構成は、チャンバの性能を向上させる。さらに、上側チャンバ内のRCPは、CCPプラズマを点火するための最初の荷電種を提供することにより、CCP下側チャンバ内でのプラズマ418の再点火を助ける。
単一空間のパルスチャンバでは、陰イオンエッチングのための制御は、オンおよびオフ期間のサイクルのみである。デュアル空間チャンバでは、上側チャンバは常にオンであるため、下側チャンバのオフ期間でも、上側チャンバ由来の種の一定の流れがある。したがって、オンおよびオフ期間の両方に、エッチング処理を制御することが可能である。上側プラズマ416からの電子は、上側チャンバを下側チャンバに接続する貫通孔426を通過する。電子はエネルギを運ぶので、貫通孔426は、下側チャンバに入る電子ビームを形成する。
一実施形態において、下側チャンバ420は、第1のパルスRF電源406および第2のパルスRF電源410によって電力供給される。RF電源は、それぞれのRF整合回路網408および412を介してチャンバ420内の下側電極に接続されている。上側チャンバ414内の上側電極は、整合回路網404を介して第3のRF電源402に接続されている。一実施形態において、第3のRF電源402は、上側チャンバ414に連続波RF電力を供給する。
パルスコントローラ430が、第1のパルスRF電源406および第2のパルスRF電源410によって生成されたRF電力のパラメータを制御する。第1および第2のRF電源を制御するパラメータは、RF電源のパルスサイクル(すなわち、オンおよびオフ期間の持続時間)、第1および第2のRF電源の周波数、電圧、および、電力レベルを含む。RF電源のパラメータを制御することにより、システムは、例えば、RFのオフ期間と、下側チャンバ内でのプラズマアフターグローとを制御する。RFパラメータのこの制御は、システムが、上側チャンバ由来の中性種および荷電種の流束を制御することも可能にする。上部側チャンバからの荷電種を下側チャンバに移動させることは、RFのオン期間の最初のプラズマの再点火の助けにもなり、これは、同じRF電力を用いる単一チャンバ装置の場合よりも早くプラズマが再点火することを意味する。
連続波コントローラ428が、第3のRF電源204によって生成されたRF電力を制御する。したがって、連続波コントローラ428は、周波数、電圧、および、電力を含む上側チャンバのRF電力のパラメータを制御する。システムコントローラ432は、パルスコントローラ430および連続波コントローラ428と通信し、上側および下側チャンバのRF電力の制御パラメータを設定する。CWコントローラおよびパルスコントローラのパラメータを制御することにより、システムコントローラ432は、チャンバの動作中にプレートを通して上側チャンバから下側チャンバに流れる種の流れを制御するよう動作可能であり、ここで、種の流れは、陰イオンエッチングと、オフ期間のアフターグロー中のウエハ表面における過剰な正荷電の中和と、オン期間中の下側チャンバにおけるプラズマの再点火と、を支援する。システムコントローラ432は、プラズマレシピ設定434を入力として有する。プラズマレシピ設定434は、周波数、電圧、電力、および、オン/オフサイクルを含む3つのRF電源のパラメータと、チャンバの動作のための他のパラメータと、を含む。プラズマレシピ設定における他の値は、チャンバを隔てるプレートの構成(例えば、貫通孔の数、プレートの厚さ、貫通孔の分布など)、上側チャンバ内の圧力、下側チャンバ内の圧力、エッチングサイクルの持続時間、チャンバへのガス流量などを含みうる。
RF電源の制御に加えて、システムコントローラ432は、上側チャンバ内の圧力、下側チャンバ内の圧力、ならびに、上側および下側チャンバの間に配置されたプレート424の構成など、チャンバのその他のパラメータを制御するように動作可能である。
上側および下側チャンバは、それぞれのチャンバへのガス流量を独立的に制御される。上側チャンバには、別個の吸気源がある。グリッド424は、さらに、下側チャンバへのガスシャワーヘッドを形成するガス流出口を備える。グリッド424は、酸化アルミニウムなどの絶縁誘電体である外面を有する。一実施形態において、グリッド424は、アルミニウムで製造され、酸化アルミニウムで被覆される。別の実施形態において、グリッドはシリコンで製造される。グリッド424は、接地に接続されている。一実施形態において、グリッドは、27mm厚であり(すなわち、貫通孔は27mmの長さを有する)、貫通孔は2mmの直径を有しているが、他の値も可能である。
2つの空間を有することにより、オフ期間中に下側チャンバ内の陰イオンの量を増大させ、陰イオンによるエッチングを向上させる。上側チャンバからの電子は、下側チャンバに到達すると、イオンに結合し、オフ期間中にチャンバ内により多くの陰イオンを生み出す。
下側チャンバの性能に影響する上側チャンバのパラメータがいくつかある。第1に、上側チャンバ内の電子密度である。密度が高いほど、下側チャンバに移動する電子の数が多くなる。第2に、上側チャンバのシースの電圧であり、一部の電子(二次電子など)のエネルギを規定する。第3に、チャンバ内の圧力である。上側チャンバ内の圧力が高くなるほど、下側チャンバに移動する粒子(電子、イオン)が多くなる。第4に、プレート424の厚さおよび貫通孔426の密度である。プレートの厚さが大きいほど、下側チャンバに移動する種の数が少なくなる。さらに、貫通孔426の密度が高いほど、下側に移動する種が多くなる。
図4の実施形態は、2MHzの第1のパルスRF電源406および27MHzの第2のパルスRF電源410を備える。RF電源は、それぞれのRF整合回路網408および412を介してチャンバ420内の下側電極に接続されている。上側チャンバ414内の上側電極は、整合回路網404を介して第3のRF電源402に接続されている。第3のRF電源402はパルシングしない。
図4に示した実施形態は、例示であることに注意されたい。別の実施形態は、異なるタイプのチャンバ、異なる周波数、下側チャンバ内の1つのみのRF電源、異なるサイズの分離プレート、上側および下側チャンバ内の異なる圧力などを利用してもよい。例えば、一実施形態において、上側チャンバは、CCPプラズマチャンバである。さらに、半導体ウエハ処理装置内の上述したモジュールのいくつかが、単一のモジュールに統合されてもよいし、単一のモジュールの機能が、複数のモジュールによって実行されてもよい。例えば、一実施形態において、連続波コントローラ428およびパルスコントローラ430は、システムコントローラ432に統合されるが、その他の構成も可能である。したがって、図5に示した実施形態は、排他的または限定的ではなく、例示または説明のためのものと解釈されるべきである。
一実施形態において、上側電極は、27MHzのRF電源に接続されており、下側チャンバの下側電極は、2MHzのRF電源に接続されている。別の実施形態において、上側チャンバ内の圧力は、20mTorrから60mTorrの間の値を有し、下側チャンバ内の圧力は、10mTorrから19mTorrの間の値を有する。
さらに別の実施形態において、上側チャンバは、27MHzから100MHzの間の周波数を有する1つのRF電源を有し、下側チャンバは、0.4MHzから25MHzの間の周波数を有する1つのRF電源を有する。上側電源の電圧は、数百ボルトの範囲(例えば、100Vから2000V以上の範囲)であってよい。下側RF電源は、最大で6000V以上の電圧を有してよい。一実施形態において、電圧は1000Vである。別の実施形態において、上側RF電源の電圧は、100Vから600Vの間の値を有し、下側RF電源の電圧は、1000Vから6000Vの間の値を有する。
上側チャンバおよび下側チャンバ内の圧力は、10mTorrから500mTorrの間の値を有してよい。一実施形態において、上側チャンバは20mTorrの圧力で作動し、下側チャンバは15mTorrの圧力で作動する。
図5は、本発明の一実施形態に従って、半導体ウエハ処理装置を示す。装置は、上側プレート500A、下側プレート500B、および、壁500Cによって形成されたチャンバ500を備える。一実施形態において、壁500Cは、連続的な円筒形の壁500Cを形成する。他の実施形態において、壁500Cは、チャンバ500の内部空洞500Dがチャンバ500の外側の外部環境から隔離されうる限りは、他の構成を有してもよい。様々な実施形態において、チャンバ500の上側プレート500A、下側プレート500B、および、壁500Cは、電気および熱の良好な伝導体であると共に、内部空洞500Dがウエハ処理中に暴露される処理ガスと化学的に適合する金属から形成されてよい。例えば、様々な実施形態において、アルミニウム、ステンレス鋼などの金属が、チャンバ500の構成要素を形成するために用いられてよい。
上側プレート500A、下側プレート500B、および、壁500Cを含むチャンバ500の構造は、導電材料で形成され、基準接地電位に電気的に接続される。チャンバ500は、内部空洞500Dから外部排出ポンプ537への流体連通を提供する排出口535を備えており、その結果、排出口535を通して陰圧を印加することにより、内部空洞500Dの内部からガスおよび粒子を除去することができる。様々な実施形態において、排出ポンプ537がチャンバ500の内部空洞500Dからの流体の流れを引き出すために排出口535で吸引を行うことができる限りは、排出ポンプ537は、異なる方法で実装されてもよい。
デュアルプラズマ処理装置が、チャンバ500の内部空洞500D内に配置される。デュアルプラズマ処理装置は、上側プラズマ生成空間503を備えた上側プラズマチャンバ512を備える。デュアルプラズマ処理装置は、さらに、下側プラズマ生成空間509を備えた下側プラズマチャンバ514を備える。上側および下側プラズマチャンバ512および514は、ガス分配ユニット515によって物理的かつ流体的に接続されており、ガス分配ユニット515は、上側および下側プラズマ生成空間503および509を分離するように配置されている。
上側プラズマチャンバ512は、上側プラズマチャンバ512の周囲を囲むよう規定されると共に上側プレート500Aに結合された外部構造部材504によって部分的には形成される。上側プラズマチャンバ512は、さらに、外部構造部材504内の上側プラズマ生成空間503の上方に配置されたシャワーヘッド電極501を備える。
動作中、高周波(RF)電力が、RF電源505からシャワーヘッド電極501に送られる。一実施形態において、RF電源505は、複数の周波数のRF電力を供給するよう規定されている。一実施形態において、RF電源505の周波数は、5kHzから500MHzの範囲内に設定される。別の実施形態において、RF電源505の周波数は、400kHzから60MHzの範囲内に設定される。
さらに、一実施形態において、シャワーヘッド電極501は、プラズマ密度と独立して上側プラズマ生成空間503内のプラズマ電位を制御することを可能にするために、DCバイアス源520に接続される。DCバイアス源520は、接地以上の様々な電圧設定でシャワーヘッド電極501のバイアスを制御するよう規定されている。一実施形態において、シャワーヘッド電極501のDCバイアス源520は、上側プラズマ生成空間503内のプラズマと下側プラズマ生成空間509内のプラズマとを同期させるようなパルスで動作するよう規定されうる。より具体的には、DCバイアス源520のこのパルス制御は、上側および下側プラズマ生成空間503および509内のプラズマの間の時間依存性の電圧差を制御するために用いることができる。
貫通孔517の各々は、ガス分配ユニット515の上面を通して開いた流体連通をするよう規定される。しかしながら、ガス供給口519は、ガス分配ユニット515の上面を通して流体的に露出されていない。したがって、ガス供給口519は、プラズマ処理ガスを下側プラズマ生成空間509のみに流すよう規定されている。対照的に、貫通孔517は、上側および下側プラズマ生成空間503および509の間の流体連通を可能にするよう規定されている。ガス分配ユニット515の貫通孔517を通る流体の流れは、上側プラズマ生成空間503および下側プラズマ生成空間509の間の圧力差によって制御されうる。
ガス分配ユニット515は、RF帰還路電極、プラズマ処理ガスマニホルド、流体流バッフルプレート、および、イオンフィルタとして機能することを理解されたい。様々な実施形態において、ガス分配ユニット515は、電気および熱の良好な伝導体であると共に、上側および下側プラズマ生成空間503および509内で実行される処理と化学的に適合する金属、例えば、アルミニウム、ステンレス鋼、シリコン、炭化シリコン、酸化シリコン、酸化イットリウム、または、さらされるプラズマ処理に適切なプラズマ耐性、導電性、および、熱伝導性を提供する基本的に任意のその他の材料、から形成されてよい。
様々な実施形態において、ガス分配ユニット515は、ガス分配ユニット515がRF電源505および511に適切な接地帰還路を提供することを可能にすると共に、上側プラズマ生成空間503内で生成されたイオンに影響を与えるのに適切なバイアスを提供するために、独自のDCバイアス源524およびRF電源522に接続される。RF電源522は、複数の周波数のRF電力を供給するよう規定されてもよい。さらに、一実施形態において、電極530が、ガス分配ユニット515に埋め込まれており、上側プラズマ生成空間503内で生成されたイオンに影響を与えるためのバイアス電圧を提供するために、DCバイアス源524に接続されている。一実施形態において、ガス分配ユニット515内の埋め込み電極530は、貫通孔517周囲に規定されており、それにより、埋め込み電極530に印加されるバイアス電圧を用いて、貫通孔517を通るイオンを加速または減速することができる。また、一実施形態において、ガス分配ユニット515内の埋め込み電極530は、複数の別個に制御可能な領域に規定されており、各領域は、独自のDCバイアス源524に接続される。この実施形態は、ガス分配ユニット515にわたって領域ごとに独立してバイアスを印加することを可能にすることにより、ガス分配ユニット515にわたって領域ごとに独立したイオン制御を提供する。
一実施形態において、上側および下側プラズマ生成空間503および509のいずれかでプラズマに暴露されるガス分配ユニット515の部分は、プラズマ耐性材料の被覆によって保護される。一実施形態において、プラズマ耐性材料は、コーティングとして形成される。別の実施形態において、プラズマ耐性材料は、ガス分配ユニット515を共形被覆する保護構造(例えば、プレート)として形成される。この実施形態のいずれかにおいて、プラズマ耐性材料は、プラズマ耐性材料およびガス分配ユニット515の間の適切な導電性および熱伝導性を保証するように、ガス分配ユニット515に固定される。プラズマ耐性保護構造の実施形態において、保護構造は、上側および下側プラズマ生成空間503および509の間の圧力差によって、複数の締め具によって、または、それらの組み合わせによって、ガス分配ユニット515に固定されてよい。様々な実施形態において、ガス分配ユニット515を保護するために用いられるプラズマ耐性コーティング、保護構造は、シリコン、炭化シリコン、酸化シリコン、酸化イットリウム、または、さらされるプラズマ処理に適切なプラズマ耐性、導電性、および、熱伝導性を提供する基本的に任意のその他の材料で形成されてよい。
ガス供給口519および貫通孔517の各々は、中を通る流体流を最適化すると同時にプラズマの不都合な侵入を防ぐように規定される。ガス供給口519および貫通孔517の各々を通る流体流およびプラズマ侵入は、供給口または貫通孔のサイズに正比例する。したがって、ガス供給口519および貫通孔517の各々を、そのサイズが十分な流量を提供するのに十分な大きさを維持しつつ不都合なプラズマ侵入を防ぐのに十分小さくなるように規定する必要がある。様々な実施形態において、ガス供給口519の直径は、約0.1mmから約3mmの範囲のサイズを有する。様々な実施形態において、貫通孔517の直径は、約0.5mmから約5mmの範囲のサイズを有する。ただし、様々な実施形態において、ガス供給口519および貫通孔517は、それぞれ、直径サイズが十分な流量を提供すると同時にプラズマ侵入の適切な抑制を提供する限りは、基本的に任意の直径サイズを有するよう規定されてよいことを理解されたい。
チャック507が、チャンバ500の内部空洞500D内で下側プラズマ生成空間509の下方に配置されている。一実施形態において、チャック507は、チャンバ500の壁500Cから片持ちされている。一実施形態において、チャック507は、静電チャックであり、RF電力を下側プラズマ生成空間509に送るための電極を提供する。チャック507は、下側プラズマ生成空間509に露出させて、基板513(すなわち、ウエハ513)を保持するように規定される。一実施形態において、ウエハエッジリング549が、チャック507上の基板513保持領域の周囲に配置されている。様々な実施形態において、ウエハエッジリングは、石英またはシリコンで形成される。また、一実施形態において、導体548が、ウエハエッジリング549の下に配置され、ウエハエッジリング549を通してDCバイアスを駆動するように接続されている。
チャック507およびガス分配ユニット515の両方と垂直に測定される下側プラズマ生成空間509の垂直距離は、チャック507の垂直位置を制御することによって設定および制御されうる。下側プラズマ生成空間509の垂直距離は、十分な中央からエッジまでのプラズマ均一性および密度を達成するように設定され、さらに、ガス供給口519および貫通孔517から流れるガスの噴流によるウエハ513上への印刷を避けるように設定されうる。様々な実施形態において、下側プラズマ生成空間509の垂直距離は、約1cmから約5cmまたは約2cmから約3.6cmの範囲に設定されうる。
チャック507は、さらに、RF電源511から下側プラズマ生成空間509にRF電力を供給するよう規定されており、その結果、チャック507は、下側プラズマ生成空間509のための電極として機能する。下側プラズマチャンバのRF電源511は、上側プラズマチャンバのRF電源505と分離され、独立していることを理解されたい。したがって、上側および下側プラズマ生成空間503および509に供給されるRF電力は、別個に独立して制御されうる。
一実施形態において、RF電源511は、オンサイクルおよびオフサイクルを有するパルスRF電力を供給する。別の実施形態において、RF電源511は、2つの異なる周波数のRF電力を供給し、両方の周波数のRF電力がパルス状である。別の実施形態では、第1の周波数を有する一方のRF電力はパルス状であり、第2の周波数を有する他方のRF電力はパルス状ではない。パルスコントローラ430は、RF電源511に接続されており、RF電源511によって供給されるRF電力のパラメータを設定する。これらのパラメータは、電源511によって生成されるRF電力の周波数、電力、および、オン/オフ・デューティサイクルを含む。さらに、連続波コントローラ428は、RF電源505に接続されており、上側チャンバで生成されるRF電力の周波数および電力など、RF電源505によって生成されるRF電力のパラメータを設定する。
一実施形態において、RF電源511は、RF電力および複数の周波数を供給するよう規定されている。例えば、RF電源511は、2MHz、27MHz、および、60MHzの周波数のRF電力を供給するよう規定されてよい。上側および下側プラズマチャンバ512および514のためのRF電源505および511の各々は、RF電力の伝達を可能にするための自身の整合回路網を通して、それぞれ、シャワーヘッド電極501およびチャック507に接続されている。上述のように、一実施形態において、ガス分配ユニット515は、上側および下側プラズマ生成空間503および509の両方のためのRF電力帰還路における基準接地電極として機能する。
上側プラズマチャンバは、上側プラズマ生成空間503内のガスをチャンバ500の内部空洞500Dに排出するための排出路525を備えるよう規定される。圧力スロットルリング527が、排出路525内で移動して、上側プラズマ生成空間503から排出路525を通してチャンバ500の内部空洞500Dに至る流体流(すなわち、ガスの流れ)をスロットル調整する。一実施形態において、圧力スロットルリング527は、上側プラズマチャンバ512の外側構造部材504に共形に規定された凹部領域内で垂直に移動するよう規定される。この実施形態において、圧力スロットルリング527は、排出路525を通る流路の面積を小さくすることによって上側プラズマ生成空間503からの流体流量を絞るために、制御された方法で、排出路525内に下方移動されうる。一実施形態において、圧力スロットルリング527は、上側プラズマ生成空間503から排出路525を通してチャンバ500の内部空洞500Dに至る流れの完全な遮断を可能にするよう規定される。
図5に示した圧力スロットルリング527の構成は、その実装の一実施例であることを理解されたい。別の実施形態において、圧力スロットルリング527は、排出路525を通る流体流の制御を提供する限りは、別の方法で実装されてもよい。下側プラズマチャンバは、下側プラズマ生成空間509内のガスをチャンバ500の内部空洞500Dに排出するための1組のスロット排出路529を備えるよう規定される。
圧力制御リング531が、1組のスロット排出路529に近づいたり離れたりするように移動して、下側プラズマ生成空間509から1組のスロット排出路529を通してチャンバ500の内部空洞500Dに至る流体流(すなわち、ガスの流れ)をスロットル調整する。一実施形態において、圧力制御リング531は、1組のスロット排出路529に近づいたり離れたりするように垂直方向に移動可能な水平方向の環状ディスクとして規定される。圧力制御リング531は、1組のスロット排出路529に当たるように配置された時、すなわち、1組のスロット排出路529が形成された外側構造部材506の水平部分の下面に当たるように配置された時、(内部空洞500Dの側で)1組のスロット排出路529を覆うように規定されている。
下側プラズマ生成空間509から1組のスロット排出路529を通ってチャンバ500の内部空洞500Dに至る流体流は、1組のスロット排出路529に近づいたり離れたりする圧力制御リング531の垂直移動によって、スロットル調整すなわち制御されうる。一実施形態において、圧力スロットルリング531は、下側プラズマ生成空間509から1組のスロット排出路529を通してチャンバ500の内部空洞500Dに至る流れの完全な遮断を可能にするよう規定される。また、一実施形態において、圧力計が、下側プラズマ生成空間509内の圧量を測定するために配置される。この実施形態では、下側プラズマ生成空間509内で測定された圧力は、圧力制御リング531の位置を制御するためのフィードバック信号を生成するために用いられ、それにより、下側プラズマ生成空間509内の圧力のアクティブ制御が実現される。
上側プラズマチャンバ512および下側プラズマチャンバ514の両方が、それぞれの閉じ込めプラズマを囲むことを理解されたい。閉じ込めプラズマは、プラズマ領域すなわち上側および下側プラズマ生成空間503および509内の体積、圧力、および、流量を制御することにより、プラズマの滞留時間を制御できる点で有利である。プラズマ滞留時間は、ラジカルおよび中性子の形成の要因である解離プロセスに影響を与える。
上述のように、上側および下側プラズマチャンバ512および514は、それぞれのRF電源制御、圧力制御、温度制御、プラズマ処理ガス源制御、および、ガス流量制御を行う。様々な実施形態において、上側プラズマ処理空間503内の圧力は、約100mTorrから約1Torrまたは約200mTorrから約600mTorrの範囲で制御されうる。様々な実施形態において、下側プラズマ処理空間509内の圧力は、約5mTorrから約100mTorrまたは約10mTorrから約30mTorrの範囲で制御されうる。
シャワーヘッド電極501を備えた図5の実施形態において、上側プラズマチャンバ512は、容量結合プラズマチャンバである。この実施形態において、シャワーヘッド電極501の下面およびガス分配ユニット515の上面の間で垂直に測定される上側プラズマ生成空間503の垂直距離は、約1cmから約5cmの範囲に設定される。一実施形態において、上側プラズマ生成空間503の垂直距離は、約2cmである。別の実施形態において、シャワーヘッド電極501は、機能的に誘導コイルに置き換えられてもよく、その場合、上側プラズマチャンバ512は、誘導結合プラズマチャンバになる。この実施形態において、上側プラズマ生成空間503の垂直距離は、最大約12cmであってよい。
ガス分配ユニット515は、上側プラズマ生成空間503と下側プラズマ生成空間509との間に配置されている。ガス分配ユニット515は、上側プラズマ生成空間503を下側プラズマ生成空間509から隔てるように形成されたプレートとして規定されており、それにより、ガス分配ユニット515のプレートの上面が、上側プラズマ生成空間503の下側の境界となり、ガス分配ユニット515のプレートの下面が、下側プラズマ生成空間509の上側の境界となる。
ガス分配ユニット515は、下側プラズマチャンバ514の外側構造部材506によって固定位置に保持される。ガス分配ユニット515は、ガス供給口519の配列を通して下側プラズマ生成空間509にプラズマ処理ガスを供給するよう規定されている。ガス分配ユニット515は、さらに、上側プラズマ生成空間503と下側プラズマ生成空間509との間の制御された流体連通を提供するために、貫通孔517の配列を備えるよう規定されている。貫通孔517の各々は、ガス分配ユニット515を貫通してその上面から下面まで伸びる。
図6は、本発明の一実施形態に従って、上側プラズマ501と下側プラズマ503とを含む図5のチャンバ500を示す。上側および下側プラズマチャンバ512および514の独立制御は、特にイオン束に対するラジカル束および中性子束の独立制御に関して、ウエハ処理レシピに幅広い可能性を提供することを理解されたい。以下で、2例のウエハ処理を提供する。ただし、本明細書に開示するウエハ処理の例は、例示に過ぎず、本明細書に開示されたデュアルプラズマ処理チャンバ500の利用に対するいかなる限定を示すものでもないことを理解されたい。
一実施例において、チャンバ500は、高フッ素ラジカルおよび中性子束と共に、ウエハ処理プラズマ内の低解離のC(C、Cなど)を利用するウエハ処理を実行するために用いられる。この実施例では、ArおよびNFの混合ガスが、プラズマ処理ガスとして上側プラズマ生成空間503に供給される。上側プラズマ生成空間503は、高圧および高RF周波数(60MHz)で作動される。高フッ素ラジカルおよび中性子束は、上側プラズマチャンバ503で生成され、ガス分配ユニット515の貫通孔517を通して流される。上側プラズマ処理空間503で生成されたイオンは、ガス分配ユニット515によってろ過される。
また、この実施例では、ArおよびCの混合ガスが、プラズマ処理ガスとして下側プラズマ生成空間509に供給される。下側プラズマ生成空間509は、低圧と、パルスRF電力を伴った低ないし中程度のRF周波数(2MHzおよび27MHz)とで作動される。下側プラズマ生成空間509の低RF周波数は、ウエハ513に暴露されるプラズマ内のCの低解離に対応する。必要なフッ素ラジカルおよび中性子束を生成するために上側プラズマ生成空間503で必要とされる高電力が、下側プラズマ生成空間509に印加されたとしたら、Cの高解離を引き起こすことを理解されたい。したがって、デュアルプラズマチャンバ500は、上記の処理の実行を可能にする。
別の実施例において、チャンバ500は、高圧空間における高解離のCxFy(C、Cなど)と共に低圧空間における高濃度のArプラズマを利用するウエハ処理を実行するために用いられる。この実施例では、CおよびArの混合ガスが、プラズマ処理ガスとして上側プラズマ生成空間503に供給される。上側プラズマ生成空間503は、高圧および高RF周波数(60MHz)で作動され、Cの高解離を引き起こす。上側プラズマチャンバ503で生成された高解離のCは、ガス分配ユニット515の貫通孔517を通して流れる。上側プラズマ処理空間503で生成されたイオンは、ガス分配ユニット515によってろ過される。また、この実施例では、Arガスが、プラズマ処理ガスとして下側プラズマ生成空間509に供給される。下側プラズマ生成空間509は、低圧と、低ないし中程度のRF周波数(2MHzおよび27MHz)とで作動され、高イオン束を有する高密度のArプラズマを生成する。
デュアルプラズマチャンバ500は、ラジカルおよび中性子束の生成をイオンプラズマの生成から分離するよう規定されていることを理解されたい。また、一実施形態において、下側プラズマチャンバ514は、機能しなくてもよく(すなわち、排出のみを行ってもよく)、その場合、ウエハ513をプラズマに暴露することなしに、上側プラズマチャンバ512からのラジカルおよび中性子束をウエハ513に供給することができる。
図7は、一実施形態に従って、正規化したイオン束をプレートの厚さの関数として示す。上側チャンバと下側チャンバとの間の相互作用に影響するパラメータの1つは、チャンバの間のプレートの構成である。厚さは貫通孔の長さを規定し、貫通孔が長いほど、イオンおよび電子が上側チャンバから下側チャンバに移動する際の障害が大きくなる。
図7のグラフは、アルゴンチャンバにおいて300Wで27MHzのRF周波数を用いて、下側チャンバ内の異なる圧力で、正規化されたイオン束について、デュアルチャンバで得られた測定値を示す。予想通り、イオン束は、貫通孔の長さ(深さ)が大きくなるにつれて減少する。さらに、下側チャンバ内の圧力が大きくなるほど、流体および種が下側チャンバまで移動する際の抵抗が増すため、イオン束は減少する。
上側チャンバと下側チャンバとの間の流れに影響する第2の要因は、貫通孔の直径である。予想通り、貫通孔の直径が大きいほど、下側チャンバへの粒子束が大きくなる。上側チャンバと下側チャンバとの間の相互作用に影響する別の要因は、プレートにおける貫通孔の数および分布である。貫通孔の数が多いほど、上側および下側チャンバの間の通路が多くなるため、より多くの電子が、上側チャンバから下側チャンバに移動する。
一実施形態において、グリッドは27mm厚であり(すなわち、貫通孔は27mmの長さを有する)、貫通孔は2mmの直径を有しているが、他の値も可能である。一実施形態において、グリッドの厚さは、10mmから30mmの間である。様々な実施形態において、貫通孔の直径は、約0.5mmから約5mmの範囲のサイズを有する。ただし、様々な実施形態において、貫通孔は、それぞれ、直径サイズが十分な流量を提供すると同時にプラズマ侵入の適切な抑制を提供する限りは、基本的に任意の直径サイズを有するよう規定されてよいことを理解されたい。
図8は、本発明の一実施形態に従って、半導体ウエハ処理装置を動作させるためのアルゴリズムを示すフローチャートである。図8の実施形態は、上側チャンバと下側チャンバとの間の種の流れを制御するために実行されうる様々な動作を示す。
動作802で、上側プラズマチャンバのためのパラメータが設定される。これらのパラメータは、RF電源の動作周波数、RF電源の電圧およびワット数、上側チャンバ内の圧力、上側チャンバに注入されるガスなどを含む。動作804で、下側プラズマチャンバのためのパラメータが設定される。上側チャンバについて上述したのと同じパラメータが、下側チャンバについても調整されてよい。さらに、上側チャンバと下側チャンバとを隔てるプレートのためのパラメータも規定される。プレートのためのパラメータは、プレートの厚さ、プレートにおける貫通孔の数および分布、貫通孔の直径などを含む。
動作806で、ウエハが、下側チャンバ内で、動作802および804で設定されたパラメータを用いて処理される。ウエハが処理された後に、オペレータは、ウエハ処理を改善するために、チャンバのパラメータのいくつかを調整することに決めてもよい。チャンバ内でのエッチングの質を決定するために、プローブを用いて、チャンバの性能を測ることができる。例えば、プローブを用いて、上側チャンバから下側チャンバへのイオン束を測定できる。
動作810で、電力が調整されるべきか否かを判定するためにチェックが行われ、動作810でのチェックの結果が、調整を必要とする結果である場合、方法は、動作812に進む。動作812では、上側または下側チャンバのためもしくは上側および下側チャンバの両方のためのワット数が設定される。チャンバにおける電力が増大されると、プラズマ内の粒子の数も増大する。
動作814で、RF電源の電圧が調整されるべきか否かを判定するためにチェックが行われ、電圧を調整すべき場合には、動作816で、上側および/または下側チャンバのための新たな電圧レベルが設定される。上述のように、下側チャンバは、パルスRF電源を備える。動作820で、RF電源のパルスサイクルが調整されるべきか否かを判定するためにチェックが行われる。動作826で、オンおよびオフ期間の持続時間(すなわち、RF信号のサイクル)が設定される。例えば、陰イオンエッチングが増強される必要がある場合、より高いアフターグローエッチングを可能にするために、オフ期間が延長されてよい。
動作822で、第1のチャンバまたは第2のチャンバ内の圧力が調整されるべきか否かを判定するためにチェックが行われる。いずれかのチャンバ内の圧力が変更されるべき場合、動作828で、上側チャンバ、下側チャンバ、または、両方のチャンバの圧力が調整される。図7を参照して上述したように、上側チャンバと下側チャンバとの間の圧力差が大きいほど、チャンバ間の粒子の流量が大きくなる。
さらに、動作824で、プレートのパラメータが変更される必要があるか否かを判定するためにチェックが行われる。上述のように、プレートの厚さ、貫通孔の数、分布、および、サイズなど、プレートのいくつかのパラメータが変更されうる。プレートのパラメータが調整されるべき場合、動作830で、プレートの上記のパラメータのいずれかが調整されうる。
調整すべきパラメータがあれば、チャンバの全パラメータが調整され、その後、方法は、動作806に戻って、ウエハの処理を続ける。図8に示した実施形態は、例示であることに注意されたい。別の実施形態が、異なる調整内容を用いる、異なる順序で調整を実行する、または、定期的にチェックを行うなどしてもよい。したがって、図8に示した実施形態は、排他的でも限定的でもなく、例示または説明のためのものと解釈されるべきである。
図9は、本発明の一実施形態に従って、ウエハを処理するためのアルゴリズムを示すフローチャートである。動作902で、上側チャンバ内の上側電極に接続された第1のRF電源によって生成される連続高周波(RF)信号のための第1のパラメータが設定される。第1のパラメータは、第1の電圧および第1の周波数を含む。さらに、動作904で、下側チャンバ内の下側電極に接続された第2のRF電源によって生成されるパルスRF信号のための第2のパラメータが設定される。第2のパラメータは、第2の電圧、第2の周波数、オン期間の持続時間、および、オフ期間の持続時間を含む。
動作906で、連続RF信号が上側電極に印加され、動作908で、パルスRF信号が下側電極に印加される。第1のパラメータおよび第2のパラメータを設定することにより、チャンバの動作中に上側チャンバから下側チャンバに流れる種の流量を制御する。種の流れは、陰イオンエッチングと、オフ期間のアフターグロー中のウエハ表面上の過剰な正電荷の中和と、オン期間中の下側チャンバにおけるプラズマの再点火とを支援する。
図10は、本発明の実施形態を実施するためのコンピュータシステムを示す概略図である。本明細書に記載の方法は、従来の汎用コンピュータシステムなどのデジタル処理システムを用いて実行されてよいことを理解されたい。あるいは、1つの機能のみを実行するよう設計またはプログラムされた専用コンピュータが用いられてもよい。コンピュータシステムは、中央処理装置(CPU)1004を備えており、CPUは、バス1010を介して、ランダムアクセスメモリ(RAM)1028、読み出し専用メモリ(ROM)1012、および、マスストレージデバイス1014に接続されている。位相制御プログラム1008が、ランダムアクセスメモリ(RAM)1028に格納されているが、マスストレージデバイス1014またはROM1012に格納されてもよい。
マスストレージデバイス1014は、フロッピー(登録商標)ディスクドライブまたは固定ディスクドライブなどの永続データ記憶装置であり、ローカルであってもリモートであってもよいネットワークインターフェース1030が、ネットワーク1032を介して接続を提供し、他のデバイスとの通信を可能にする。CPU1004は、汎用プロセッサ、専用プロセッサ、または、特別にプログラムされた論理デバイスとして実装されうることを理解されたい。入力/出力(I/O)インターフェースが、様々な周辺機器との通信を提供しており、バス1010を介して、CPU1004、RAM1028、ROM1012、および、マスストレージデバイス1014に接続されている。周辺機器の例は、ディスプレイ1018、キーボード1022、カーソルコントロール1024、リムーバブルメディアデバイス1034などである。
ディスプレイ1018は、本明細書に記載のユーザインターフェースを表示するよう構成される。キーボード1022、カーソルコントロール1024、リムーバブルメディアデバイス1034、および、その他の周辺機器は、CPU1004に命令選択の情報を通信するために、I/Oインターフェース1020に接続される。外部デバイスとのデータのやりとりは、I/Oインターフェース1020を介して通信されてよいことを理解されたい。本発明は、有線または無線ネットワークを通して接続された遠隔処理デバイスによってタスクが実行される分散コンピューティング環境で実施されてもよい。
本発明の実施形態は、ハンドヘルドデバイス、マイクロプロセッサシステム、マイクロプロセッサベースまたはプログラム可能な家電、ミニコンピュータ、メインフレームコンピュータなど、様々なコンピュータシステム構成で実施されてもよい。本発明は、ネットワークを通して接続された遠隔処理デバイスによってタスクが実行される分散コンピューティング環境で実施されてもよい。
上述の実施形態を念頭に置いて、本発明は、コンピュータシステムに格納されたデータを含め、コンピュータに実装された様々な動作を利用できることを理解されたい。これらの動作は、物理量の物理操作を必要とするものである。本発明の一部を形成する本明細書で説明した動作はいずれも、有用な機械動作である。本発明は、さらに、これらの動作を実行するためのデバイスまたは装置に関する。装置は、専用コンピュータなど、必要とされる目的向けに特別に構築されてよい。専用コンピュータとして規定された場合、コンピュータは、特殊目的に含まれない他の処理、プログラム実行、または、ルーチンも実行しつつ、特殊目的のために動作することができる。あるいは、動作は、コンピュータメモリ、キャッシュに格納されたまたはネットワークを介して取得された1または複数のコンピュータプログラムによって選択的にアクティベートまたは構成された汎用コンピュータで処理されてもよい。データがネットワークを介して取得されると、そのデータは、ネットワーク(例えば、コンピューティングリソースのクラウド)上の他のコンピュータによって処理されてもよい。
本発明の1または複数実施形態は、コンピュータ読み取り可能な媒体上にコンピュータ読み取り可能なコードとして製造されてもよい。コンピュータ読み取り可能な媒体は、コンピュータシステムによって読み出し可能であるようにデータを格納できる任意のデータ記憶装置である。コンピュータ読み取り可能な媒体の例としては、ハードドライブ、ネットワーク接続ストレージ(NAS)、読み出し専用メモリ、ランダムアクセスメモリ、CD−ROM、CD−R、CD−RW、磁気テープ、および、その他の光学および非光学式のデータ記憶装置が挙げられる。コンピュータ読み取り可能な媒体は、コンピュータ読み取り可能なコードが分散的に格納および実行されるように、ネットワーク接続されたコンピュータシステム上に分散されたコンピュータ読み取り可能なタンジブル媒体を含みうる。
方法の動作は特定の順番で記載されているが、オーバーレイ動作の処理が望ましく実行される限りは、他のハウスキーピング動作が動作の合間に実行されてもよいし、動作が若干異なる時間に実行されるように調整されてもよいし、処理に関連した様々な間隔で処理動作が起きることを許容するシステムに分散されてもよいことを理解されたい。
理解を深めるために、本発明について、ある程度詳しく説明したが、添付の特許請求の範囲内でいくらかの変更と変形を行ってもよいことは明らかである。したがって、これらの実施形態は、例示的なものであって、限定的なものではないとみなされ、本発明は、本明細書に示した詳細に限定されず、添付の特許請求の範囲および等価物の範囲内で変形されてよい。
本発明は、半導体デバイスの誘電体エッチングのための方法、システム、および、コンピュータプログラムに関し、特に、デュアルモジュール容量結合プラズマ(CCP)チャンバにおける半導体デバイスの誘電体エッチングのための方法、システム、および、コンピュータプログラムに関する。
集積回路の製造は、ドープシリコンの領域を含むシリコン基板(ウエハ)を化学反応プラズマに暴露させる工程を含んでおり、その工程で、サブミクロンのデバイスフィーチャ(例えば、トランジスタ、コンデンサなど)が基板上にエッチングされる。第1の層が加工されると、いくつかの絶縁(誘電体)層が第1の層の上に形成され、ホール(ビアとも呼ばれる)およびトレンチが、導電性のインターコネクタを配置するために材料にエッチングされる。
SiOは、半導体製造で用いられる一般的な誘電体である。SiOエッチングに用いられるプラズマは、しばしば、アルゴン(Ar)および酸素(O)ガスと共に、四フッ化炭素CFおよびオクタフルオロシクロブタン(C−C)などのフッ化炭素ガスを含む。プラズマという用語は、構成原子および分子が部分的または完全にイオン化されたガスを指すために用いられる。容量性高周波(RF)電力結合が、低い解離速度、好ましい比較的大きい不動態化分子、および、表面における高いイオンエネルギを理由に、プラズマを点火および維持するためにしばしば用いられる。シリコン基板へのイオンエネルギおよびイオン束を独立制御するために、デュアル周波数容量放電(DF−CCP)が用いられることがある。
半導体ウエハ製造に用いられる現行のプラズマ処理システムは、ラジカル分離、ラジカル束、イオンエネルギ、および、ウエハに供給されるイオン束を制御するために、相互に大きく依存した制御パラメータを利用する。例えば、現行のプラズマ処理システムは、ウエハの存在下で生成された単一のプラズマを制御することによって、必要なラジカル分離、ラジカル束、イオンエネルギ、および、イオン束を達成しようとする。残念ながら、化学物質の解離およびラジカルの形成は、イオン生成およびプラズマ密度に結びついており、所望のプラズマ処理条件を達成するために協調しない。
一部の半導体処理装置は、パルスRF電源を用いる。現在のパルスRFプラズマ技術は、プラズマが止まるRFのオフ期間中にアフターグロープラズマの制御を提供しない。通例、RFのオフ期間中には、プラズマ電位が崩壊し、電子がチャンバの壁へ逸出する。アフターグロー中、電子密度が低下し、陰イオン密度が増大する。次いで、イオンも壁へと逸出する。荷電種の動態は、チャンバ内の電荷の分布、ひいては、そのエッチング特性を決定するが、残念ながら、これらの動態および荷電種の流束は、ほとんど制御されていない。アフターグロー期間に利用できる制御は、変調周波数およびデューティサイクルのみである。
パルスプラズマ技術の別の問題は、RF電力がオンになった時のプラズマ再点火である。プラズマおよびアフターグローがRFのオフ期間中に完全に消える場合、プラズマの再点火には、高いRF電圧レベルが必要になる。さらに、特に、低ガス圧での動作時に、RFの問題により支障が生じうる。
実施形態は、このような課題に対処するものである。
本発明の実施形態は、デュアルチャンバ構成のパルスプラズマチャンバで半導体基板を処理するためのシステム、方法、および、コンピュータプログラムを提供する。
本発明は、処理、装置、システム、デバイス、または、コンピュータ読み取り可能な媒体に記録された方法など、種々の形態で実施できることを理解されたい。以下では、本発明の実施形態をいくつか説明する。
一実施形態では、上側チャンバを下側チャンバに流体連通させるプレートによって隔てられた上側チャンバおよび下側チャンバを備えたウエハ処理装置が、連続波(CW)コントローラと、パルスコントローラと、システムコントローラと、を備える。CWコントローラは、上側チャンバ内の上側電極に接続された第1の高周波(RF)電源の電圧および周波数を設定するよう動作可能である。パルスコントローラは、下側チャンバ内の下側電極に接続された第2のRF電源によって生成されるパルスRF信号の電圧、周波数、オン期間の持続時間、および、オフ期間の持続時間を設定するよう動作可能である。さらに、システムコントローラは、両チャンバの動作中にプレートを通して上側チャンバから下側チャンバに流れる種の流れを制御するために、CWコントローラおよびパルスコントローラのパラメータを設定するよう動作可能である。種の流れは、オフ期間のアフターグロー中の陰イオン密度の制御と、オン期間中の下側チャンバにおけるプラズマの再点火と、を支援する。
別の実施形態では、上側チャンバを下側チャンバに流体連通させるプレートによって隔てられた上側チャンバおよび下側チャンバを備えたウエハ処理装置でウエハを処理するための方法が、上側チャンバ内の上側電極に接続された第1のRF電源によって生成される連続高周波(RF)信号のための第1のパラメータを設定する動作を備える。第1のパラメータは、第1の電圧および第1の周波数を含む。さらに、方法は、下側チャンバ内の下側電極に接続された第2のRF電源によって生成されるパルスRF信号のための第2のパラメータを設定する動作を備える。第2のパラメータは、第2の電圧、第2の周波数、オン期間の持続時間、および、オフ期間の持続時間を含む。連続RF信号は上側電極に印加され、パルスRF信号は下側電極に印加される。第1のパラメータおよび第2のパラメータを設定することにより、両チャンバの動作中に上側チャンバから下側チャンバに流れる種の流れを制御する。種の流れは、オフ期間のアフターグロー中の陰イオン密度の制御と、オン期間中の下側チャンバにおけるプラズマの再点火と、を支援する。
さらに別の実施形態では、上側チャンバを下側チャンバに流体連通させるプレートによって隔てられた上側チャンバおよび下側チャンバを備えたウエハ処理装置が、CWコントローラと、パルスコントローラと、システムコントローラと、を備える。CWコントローラは、上側チャンバ内の上側電極に接続された第1の高周波(RF)電源のための第1のパラメータを設定するよう動作可能であり、パルスコントローラは、下側チャンバ内の下側電極に接続された第2のRF電源によって生成される第2のパルスRF信号のための第2のパラメータを設定するよう動作可能である。パルスコントローラは、さらに、下側電極に接続された第3のRF電源によって生成される第3のパルスRF信号のための第3のパラメータを設定するよう動作可能である。さらに、システムコントローラは、両チャンバの動作中にプレートを通して上側チャンバから下側チャンバに流れる種の流れを制御するために、第1、第2、および、第3のパラメータを転送するよう動作可能である。種の流れは、オフ期間のアフターグロー中の陰イオン密度の制御と、オン期間中の下側チャンバにおけるプラズマの再点火と、を支援する。
添付の図面を参照して行う以下の詳細な説明から、別の態様が明らかになる。
本発明は、添付の図面に関連して行う以下の説明を参照することによって最も良く理解できる。
一実施形態に従って、エッチングチャンバを示す図。
一実施形態に従って、パルスプラズマチャンバの挙動を示す図。
一実施形態に従って、プラズマ密度に対するRF電力周波数およびチャンバ圧力の影響を示す図。
本発明の一実施形態に従って、2つのチャンバを備えた半導体ウエハ処理装置を示す図。
本発明の一実施形態に従って、半導体ウエハ処理装置を示す図。
本発明の一実施形態に従って、上側プラズマと下側プラズマとを含む図5のチャンバを示す図。
一実施形態に従って、正規化したイオン束をプレートの厚さの関数として示す図。
本発明の一実施形態に従って、半導体ウエハ処理装置を動作させるためのアルゴリズムを示すフローチャート。
本発明の一実施形態に従って、ウエハを処理するためのアルゴリズムを示すフローチャート。
本発明の実施形態を実施するためのコンピュータシステムを示す概略図。
以下の実施形態は、デュアルチャンバ構成のパルスプラズマチャンバで半導体基板を処理するためのシステム、方法、および、コンピュータプログラムを提供する。本実施形態は、これらの具体的な詳細事項の一部またはすべてがなくとも実施可能であることが明らかである。また、本実施形態が不必要に不明瞭となることを避けるため、周知の処理動作の詳細な説明は省略した。
図1は、一実施形態に従って、エッチングチャンバを示す。2つの電極の間に電場を励起することが、エッチングチャンバ内でRFガス放電を得るための方法の1つである。電極間に振動電圧が印加された時、得られた放電は、容量結合プラズマ(CPC)放電と呼ばれる。
プラズマは、電子中性衝突によって起きた様々な分子の解離によって生成される様々な化学反応性の副生成物を得るために、安定した原料ガスを用いて生成されうる。エッチングの化学的側面は、中性ガス分子およびそれらの解離した副生成物と、エッチング対象の表面の分子との化学反応を起こし、揮発性分子を生成することを含んでおり、かかる揮発性分子はポンプ除去されうる。プラズマが生成されると、壁からプラズマを隔てる空間電荷シースを横切って陽イオンがプラズマから加速され、ウエハの表面から材料を除去するのに十分なエネルギでウエハ表面に衝突する。これは、イオン衝撃またはイオンスパッタリングとして知られる。しかしながら、一部の工業用プラズマは、純粋に物理的な手段によって表面を効率的にエッチングするのに十分なエネルギを有するイオンを生成しない。中性ガスエッチングおよびイオン衝撃の両方を組み合わせた作用が、各方法の効果を単に足し合わせるよりも速いエッチング速度を生み出すことがわかった。
一実施形態において、異方性および選択エッチング能があることから、CFおよびC−Cなどのフッ化炭素ガスが誘電体エッチング処理に用いられるが、本発明の原理は、その他のプラズマ生成ガスにも適用できる。フッ化炭素ガスは容易に解離されて、より小さい分子および原子ラジカルになる。これらの化学反応性副生成物は、誘電材料をエッチング除去する。一実施形態において、誘電材料は低誘電率デバイスのためのSiOまたはSiOCHであってよい。
図1のチャンバは、接地された上側電極104と、低周波RF発生器118および高周波RF発生器116によって電力供給される下側電極108と、を備える。下側電極108は、整合回路網114を介して、低周波RF発生器118および高周波RF発生器116に接続されている。一実施形態において、低周波RF発生器118は2MHzのRF周波数を有し、高周波RF発生器116は27MHzのRF周波数を有する。
図1のチャンバは、チャンバにガスを入力するために上側電極104上にガスシャワーヘッドを備えており、チャンバからガスをポンプで排出することを可能にする穴のあいた閉じ込めリング112を備える。基板106がチャンバ内に存在する時、ウエハ表面上に均一なエッチングをするためにプラズマ102の下面に均一なRF場が存在するように、シリコンフォーカスリング110が基板に隣接して配置される。
一実施形態において、低周波RF発生器118はパルシングするが、高周波RF発生器116はパルシングしない。別の実施形態では、RF発生器は共にパルシングし、さらに別の実施形態では、高周波RF発生器116はパルシングするが、低周波RF発生器118はパルシングせず、すなわち、低周波RF発生器118は、ウエハ処理中には常にオンになっている。
図2は、一実施形態に従って、パルスプラズマチャンバの挙動を示す。図1に関連するパルスプラズマチャンバは、1つのパルスRF電源を備える。グラフ202は、RF電源の電圧を示しており、RF電源がオン状態のオン期間と、RF電源がオフ状態のオフ期間と、を含む。グラフ204は、RF電源の電力が2つのレベル、すなわち、ゼロより大きいオン期間中の第1のレベルおよびゼロに等しい(つまり、RF電力がオフである)オフ期間中の第2のレベル、を有することを示している。
オン期間は2つの段階を有する:すなわち、プラズマが点火されている(すなわち、ターンオン)第1の段階、および、プラズマがチャンバ内に存在する定常状態に対応する第2の段階である。ターンオン段階中に、プラズマが点火すると、プラズマシースが生じて変化する。電子の平均エネルギは比較的高く、イオン束密度は低い。さらに、電力は、プラズマ点火中に変化するプラズマインピーダンスにより、ターンオン段階にはうまく整合されない。定常状態段階には、電子密度はほぼ一定であり、陽イオン束密度が大きい。電力は、ほぼ一定のプラズマインピーダンスと良好に整合され、プラズマシートが安定的に振動する。
オフ期間は2つの段階を有する:すなわち、プラズマがオフにされている第1の段階(ターンオフ)、および、「アフターグロー後期」と呼ばれる第2の段階である。ターンオフ段階には、電子の平均エネルギが急速に減少し、イオン束密度が急速に低下し、プラズマ電位が低くなる。プラズマシースは、電子密度が低下すると崩壊する。アフターグロー後期段階には、電力レベルはゼロになり、電子の平均エネルギは小さくなる。また、イオン束密度も小さく、陰イオンがチャンバの表面に到達しうる。プラズマシースは、電子密度が低下すると崩壊する。
グラフ206は、オン期間およびオフ期間中に電子エネルギが変化する様子を示す。オン期間中、電子エネルギは高く、オフ期間中、電子エネルギはゼロまで減少する。グラフ208は、電子密度220および陽イオン密度222を示す。陽イオン密度222は、オン期間中に高く、オフ期間に減少する。したがって、陽イオンによるエッチングは、主にオン期間中に起きる。
グラフ210は、経時的にプラズマ電位の変化を示す。上述のように、プラズマ電位は、ターンオン段階の最初に急上昇し、次いで、定常値に至る。ターンオフ段階中に、プラズマ電位は、ゼロの値に達するまで減衰する。グラフ212は、陽イオン束の値を示しており、その値は、オン期間中はほぼ一定であり、オフ期間に速やかに0まで減少する。
さらに、グラフ214は、経時的に陰イオン束の値を示す。陰イオン束は、オン期間中には実質的に0であるが、オフ期間に正のスパイクを有しており、それが、オフ期間中に、陰イオンによるウエハのエッチング、および、ウエハ表面上の過度の正電荷の中和を引き起こす。
RF電源のパルシングは、非パルスRF電源と比較して、トレンチング、ノッチング、および、帯電損傷を低減できるため、エッチング性能を向上させる。電荷が、連続放電中にウェルの上部および下部の間に蓄積して、イオン偏向を引き起こしうる。アフターグローレジームでの低電子密度により、より多くの陰イオンおよび電子が、ウェル底部へと引き付けられて、ウェル底部に蓄積しうる正電荷を中和することが可能になるため、電荷蓄積をパルス放電で減少させることができる。
図3は、一実施形態に従って、プラズマ密度に対するRF電力周波数およびチャンバ圧力の影響を示す。図3は、チャンバにおけるRF電力周波数および圧力の様々な条件下で非パルスプラズマチャンバで取られたいくつかの測定値を示す。酸素および5%アルゴンを含むチャンバにおいて、400Wの単一RF電源を用いて、測定を行った。
ライン302は、異なる圧力レベルおよび2MHzのRF周波数での陽イオン束の値を示す。チャンバ内の圧力が上昇するにつれ、陽イオン束は増大し、約300mTorrでおよそ最大陽イオン束に至る。したがって、低RF周波数(2MHz)では、チャンバは、高圧でより効率的である。ライン306は、RF周波数が27MHzの時の値を示す。この場合、流束は、圧力が約100mTorrになるまで次第に増大し、その後は実質的に一定になる。ライン304は、60MHzのRF周波数を用いた時の流束の値を示す。この高周波数では、チャンバは、低圧でより効率的であり、圧力が上昇すると効率的でなくなる。
一般に、パルスRF電力を用いる場合、低圧でチャンバを作動させることがより望ましいため、イオンは穴の深くまで到達しうる。しかしながら、低圧での作動時には高圧での作動時よりも電子が少ないので、低圧プラズマチャンバ内でプラズマを点火することは比較的難しい。
本発明の実施形態は、下側チャンバがパルス化され上側チャンバがパルス化されていないデュアルチャンバ構成を利用する。図4〜図6を参照して以下で詳述するように、2つのチャンバは、上側チャンバから下側チャンバへの種の流れを提供する穴あきグリッドによって隔てられている。電子が、上側プラズマを逸出して下側チャンバに流れ、プラズマの再点火を助ける。下側チャンバ内により多くの電子が存在するため、プラズマの再点火は、より容易である。したがって、デュアルチャンバを利用すれば、低圧チャンバおよびパルスRF電源を同時に実現することが容易になる。
図4は、本発明の一実施形態に従って、2つのチャンバを備えた半導体ウエハ処理装置を示す。半導体ウエハ処理装置は、デュアル空間プラズマ源を備える。上側チャンバ414は、連続波(CW)ラジカル制御プラズマ(RCP)チャンバであり、本明細書ではプレートまたはグリッドとも呼ばれる穴あき接地電極424によって下側パルスRF容量プラズマチャンバ420から隔てられている。下側空間は、パルスCCPプラズマチャンバであり、上側空間は、下側空間に注入されるラジカル、電子、および、イオンの供給源として機能する。
パルス下側チャンバRFのオフ期間におけるアフターグロー中、下側チャンバ内の中性種および荷電種の流束は、上側チャンバにおけるパラメータを調整することによって制御できる。また、RCP上側チャンバからの荷電種を下側チャンバに流すことは、RFのオン期間中の下側プラズマ418の再点火を助ける。一実施形態において、アフターグローおよび再点火は、上側チャンバ内で異なるプラズマ源を用いることによって制御される。例えば、上側チャンバ内で誘電結合プラズマまたはヘリコンプラズマを用いて制御される。
上側プラズマ416の供給源が下側チャンバ内のプラズマアフターグローの制御を提供し、基板422をエッチングするための荷電種動態の制御を提供するので、この構成は、チャンバの性能を向上させる。さらに、上側チャンバ内のRCPは、CCPプラズマを点火するための最初の荷電種を提供することにより、CCP下側チャンバ内でのプラズマ418の再点火を助ける。
単一空間のパルスチャンバでは、陰イオンエッチングのための制御は、オンおよびオフ期間のサイクルのみである。デュアル空間チャンバでは、上側チャンバは常にオンであるため、下側チャンバのオフ期間でも、上側チャンバ由来の種の一定の流れがある。したがって、オンおよびオフ期間の両方に、エッチング処理を制御することが可能である。上側プラズマ416からの電子は、上側チャンバを下側チャンバに接続する貫通孔426を通過する。電子はエネルギを運ぶので、貫通孔426は、下側チャンバに入る電子ビームを形成する。
一実施形態において、下側チャンバ420は、第1のパルスRF電源406および第2のパルスRF電源410によって電力供給される。RF電源は、それぞれのRF整合回路網408および412を介してチャンバ420内の下側電極に接続されている。上側チャンバ414内の上側電極は、整合回路網404を介して第3のRF電源402に接続されている。一実施形態において、第3のRF電源402は、上側チャンバ414に連続波RF電力を供給する。
パルスコントローラ430が、第1のパルスRF電源406および第2のパルスRF電源410によって生成されたRF電力のパラメータを制御する。第1および第2のRF電源を制御するパラメータは、RF電源のパルスサイクル(すなわち、オンおよびオフ期間の持続時間)、第1および第2のRF電源の周波数、電圧、および、電力レベルを含む。RF電源のパラメータを制御することにより、システムは、例えば、RFのオフ期間と、下側チャンバ内でのプラズマアフターグローとを制御する。RFパラメータのこの制御は、システムが、上側チャンバ由来の中性種および荷電種の流束を制御することも可能にする。上部側チャンバからの荷電種を下側チャンバに移動させることは、RFのオン期間の最初のプラズマの再点火の助けにもなり、これは、同じRF電力を用いる単一チャンバ装置の場合よりも早くプラズマが再点火することを意味する。
連続波コントローラ428が、第3のRF電源204によって生成されたRF電力を制御する。したがって、連続波コントローラ428は、周波数、電圧、および、電力を含む上側チャンバのRF電力のパラメータを制御する。システムコントローラ432は、パルスコントローラ430および連続波コントローラ428と通信し、上側および下側チャンバのRF電力の制御パラメータを設定する。CWコントローラおよびパルスコントローラのパラメータを制御することにより、システムコントローラ432は、両チャンバの動作中にプレートを通して上側チャンバから下側チャンバに流れる種の流れを制御するよう動作可能であり、ここで、種の流れは、陰イオンエッチングと、オフ期間のアフターグロー中のウエハ表面における過剰な正荷電の中和と、オン期間中の下側チャンバにおけるプラズマの再点火と、を支援する。システムコントローラ432は、プラズマレシピ設定434を入力として有する。プラズマレシピ設定434は、周波数、電圧、電力、および、オン/オフサイクルを含む3つのRF電源のパラメータと、両チャンバの動作のための他のパラメータと、を含む。プラズマレシピ設定における他の値は、チャンバを隔てるプレートの構成(例えば、貫通孔の数、プレートの厚さ、貫通孔の分布など)、上側チャンバ内の圧力、下側チャンバ内の圧力、エッチングサイクルの持続時間、チャンバへのガス流量などを含みうる。
RF電源の制御に加えて、システムコントローラ432は、上側チャンバ内の圧力、下側チャンバ内の圧力、ならびに、上側および下側チャンバの間に配置されたプレート424の構成など、チャンバのその他のパラメータを制御するように動作可能である。
上側および下側チャンバは、それぞれのチャンバへのガス流量を独立的に制御される。上側チャンバには、別個の吸気源がある。グリッド424は、さらに、下側チャンバへのガスシャワーヘッドを形成するガス流出口を備える。グリッド424は、酸化アルミニウムなどの絶縁誘電体である外面を有する。一実施形態において、グリッド424は、アルミニウムで製造され、酸化アルミニウムで被覆される。別の実施形態において、グリッドはシリコンで製造される。グリッド424は、接地に接続されている。一実施形態において、グリッドは、27mm厚であり(すなわち、貫通孔は27mmの長さを有する)、貫通孔は2mmの直径を有しているが、他の値も可能である。
2つの空間を有することにより、オフ期間中に下側チャンバ内の陰イオンの量を増大させ、陰イオンによるエッチングを向上させる。上側チャンバからの電子は、下側チャンバに到達すると、イオンに結合し、オフ期間中にチャンバ内により多くの陰イオンを生み出す。
下側チャンバの性能に影響する上側チャンバのパラメータがいくつかある。第1に、上側チャンバ内の電子密度である。密度が高いほど、下側チャンバに移動する電子の数が多くなる。第2に、上側チャンバのシースの電圧であり、一部の電子(二次電子など)のエネルギを規定する。第3に、チャンバ内の圧力である。上側チャンバ内の圧力が高くなるほど、下側チャンバに移動する粒子(電子、イオン)が多くなる。第4に、プレート424の厚さおよび貫通孔426の密度である。プレートの厚さが大きいほど、下側チャンバに移動する種の数が少なくなる。さらに、貫通孔426の密度が高いほど、下側に移動する種が多くなる。
図4の実施形態は、2MHzの第1のパルスRF電源406および27MHzの第2のパルスRF電源410を備える。RF電源は、それぞれのRF整合回路網408および412を介してチャンバ420内の下側電極に接続されている。上側チャンバ414内の上側電極は、整合回路網404を介して第3のRF電源402に接続されている。第3のRF電源402はパルシングしない。
図4に示した実施形態は、例示であることに注意されたい。別の実施形態は、異なるタイプのチャンバ、異なる周波数、下側チャンバ内の1つのみのRF電源、異なるサイズの分離プレート、上側および下側チャンバ内の異なる圧力などを利用してもよい。例えば、一実施形態において、上側チャンバは、CCPプラズマチャンバである。さらに、半導体ウエハ処理装置内の上述したモジュールのいくつかが、単一のモジュールに統合されてもよいし、単一のモジュールの機能が、複数のモジュールによって実行されてもよい。例えば、一実施形態において、連続波コントローラ428およびパルスコントローラ430は、システムコントローラ432に統合されるが、その他の構成も可能である。したがって、図4に示した実施形態は、排他的または限定的ではなく、例示または説明のためのものと解釈されるべきである。
一実施形態において、上側電極は、27MHzのRF電源に接続されており、下側チャンバの下側電極は、2MHzのRF電源に接続されている。別の実施形態において、上側チャンバ内の圧力は、20mTorrから60mTorrの間の値を有し、下側チャンバ内の圧力は、10mTorrから19mTorrの間の値を有する。
さらに別の実施形態において、上側チャンバは、27MHzから100MHzの間の周波数を有する1つのRF電源を有し、下側チャンバは、0.4MHzから25MHzの間の周波数を有する1つのRF電源を有する。上側電源の電圧は、数百ボルトの範囲(例えば、100Vから2000V以上の範囲)であってよい。下側RF電源は、最大で6000V以上の電圧を有してよい。一実施形態において、電圧は1000Vである。別の実施形態において、上側RF電源の電圧は、100Vから600Vの間の値を有し、下側RF電源の電圧は、1000Vから6000Vの間の値を有する。
上側チャンバおよび下側チャンバ内の圧力は、10mTorrから500mTorrの間の値を有してよい。一実施形態において、上側チャンバは20mTorrの圧力で作動し、下側チャンバは15mTorrの圧力で作動する。
図5は、本発明の一実施形態に従って、半導体ウエハ処理装置を示す。装置は、上側プレート500A、下側プレート500B、および、壁500Cによって形成されたチャンバ500を備える。一実施形態において、壁500Cは、連続的な円筒形の壁500Cを形成する。他の実施形態において、壁500Cは、チャンバ500の内部空洞500Dがチャンバ500の外側の外部環境から隔離されうる限りは、他の構成を有してもよい。様々な実施形態において、チャンバ500の上側プレート500A、下側プレート500B、および、壁500Cは、電気および熱の良好な伝導体であると共に、内部空洞500Dがウエハ処理中に暴露される処理ガスと化学的に適合する金属から形成されてよい。例えば、様々な実施形態において、アルミニウム、ステンレス鋼などの金属が、チャンバ500の構成要素を形成するために用いられてよい。
上側プレート500A、下側プレート500B、および、壁500Cを含むチャンバ500の構造は、導電材料で形成され、基準接地電位に電気的に接続される。チャンバ500は、内部空洞500Dから外部排出ポンプ537への流体連通を提供する排出口535を備えており、その結果、排出口535を通して陰圧を印加することにより、内部空洞500Dの内部からガスおよび粒子を除去することができる。様々な実施形態において、排出ポンプ537がチャンバ500の内部空洞500Dからの流体の流れを引き出すために排出口535で吸引を行うことができる限りは、排出ポンプ537は、異なる方法で実装されてもよい。
デュアルプラズマ処理装置が、チャンバ500の内部空洞500D内に配置される。デュアルプラズマ処理装置は、上側プラズマ生成空間503を備えた上側プラズマチャンバ512を備える。デュアルプラズマ処理装置は、さらに、下側プラズマ生成空間509を備えた下側プラズマチャンバ514を備える。上側および下側プラズマチャンバ512および514は、ガス分配ユニット515によって物理的かつ流体的に接続されており、ガス分配ユニット515は、上側および下側プラズマ生成空間503および509を分離するように配置されている。
上側プラズマチャンバ512は、上側プラズマチャンバ512の周囲を囲むよう規定されると共に上側プレート500Aに結合された外部構造部材504によって部分的には形成される。上側プラズマチャンバ512は、さらに、外部構造部材504内の上側プラズマ生成空間503の上方に配置されたシャワーヘッド電極501を備える。
動作中、高周波(RF)電力が、RF電源505からシャワーヘッド電極501に送られる。一実施形態において、RF電源505は、複数の周波数のRF電力を供給するよう規定されている。一実施形態において、RF電源505の周波数は、5kHzから500MHzの範囲内に設定される。別の実施形態において、RF電源505の周波数は、400kHzから60MHzの範囲内に設定される。
さらに、一実施形態において、シャワーヘッド電極501は、プラズマ密度と独立して上側プラズマ生成空間503内のプラズマ電位を制御することを可能にするために、DCバイアス源520に接続される。DCバイアス源520は、接地以上の様々な電圧設定でシャワーヘッド電極501のバイアスを制御するよう規定されている。一実施形態において、シャワーヘッド電極501のDCバイアス源520は、上側プラズマ生成空間503内のプラズマと下側プラズマ生成空間509内のプラズマとを同期させるようなパルスで動作するよう規定されうる。より具体的には、DCバイアス源520のこのパルス制御は、上側および下側プラズマ生成空間503および509内のプラズマの間の時間依存性の電圧差を制御するために用いることができる。
貫通孔517の各々は、ガス分配ユニット515の上面を通して開いた流体連通をするよう規定される。しかしながら、ガス供給口519は、ガス分配ユニット515の上面を通して流体的に露出されていない。したがって、ガス供給口519は、プラズマ処理ガスを下側プラズマ生成空間509のみに流すよう規定されている。対照的に、貫通孔517は、上側および下側プラズマ生成空間503および509の間の流体連通を可能にするよう規定されている。ガス分配ユニット515の貫通孔517を通る流体の流れは、上側プラズマ生成空間503および下側プラズマ生成空間509の間の圧力差によって制御されうる。
ガス分配ユニット515は、RF帰還路電極、プラズマ処理ガスマニホルド、流体流バッフルプレート、および、イオンフィルタとして機能することを理解されたい。様々な実施形態において、ガス分配ユニット515は、電気および熱の良好な伝導体であると共に、上側および下側プラズマ生成空間503および509内で実行される処理と化学的に適合する金属、例えば、アルミニウム、ステンレス鋼、シリコン、炭化シリコン、酸化シリコン、酸化イットリウム、または、さらされるプラズマ処理に適切なプラズマ耐性、導電性、および、熱伝導性を提供する基本的に任意のその他の材料、から形成されてよい。
様々な実施形態において、ガス分配ユニット515は、ガス分配ユニット515がRF電源505および511に適切な接地帰還路を提供することを可能にすると共に、上側プラズマ生成空間503内で生成されたイオンに影響を与えるのに適切なバイアスを提供するために、独自のDCバイアス源524およびRF電源522に接続される。RF電源522は、複数の周波数のRF電力を供給するよう規定されてもよい。さらに、一実施形態において、電極530が、ガス分配ユニット515に埋め込まれており、上側プラズマ生成空間503内で生成されたイオンに影響を与えるためのバイアス電圧を提供するために、DCバイアス源524に接続されている。一実施形態において、ガス分配ユニット515内の埋め込み電極530は、貫通孔517周囲に規定されており、それにより、埋め込み電極530に印加されるバイアス電圧を用いて、貫通孔517を通るイオンを加速または減速することができる。また、一実施形態において、ガス分配ユニット515内の埋め込み電極530は、複数の別個に制御可能な領域に規定されており、各領域は、独自のDCバイアス源524に接続される。この実施形態は、ガス分配ユニット515にわたって領域ごとに独立してバイアスを印加することを可能にすることにより、ガス分配ユニット515にわたって領域ごとに独立したイオン制御を提供する。
一実施形態において、上側および下側プラズマ生成空間503および509のいずれかでプラズマに暴露されるガス分配ユニット515の部分は、プラズマ耐性材料の被覆によって保護される。一実施形態において、プラズマ耐性材料は、コーティングとして形成される。別の実施形態において、プラズマ耐性材料は、ガス分配ユニット515を共形被覆する保護構造(例えば、プレート)として形成される。この実施形態のいずれかにおいて、プラズマ耐性材料は、プラズマ耐性材料およびガス分配ユニット515の間の適切な導電性および熱伝導性を保証するように、ガス分配ユニット515に固定される。プラズマ耐性保護構造の実施形態において、保護構造は、上側および下側プラズマ生成空間503および509の間の圧力差によって、複数の締め具によって、または、それらの組み合わせによって、ガス分配ユニット515に固定されてよい。様々な実施形態において、ガス分配ユニット515を保護するために用いられるプラズマ耐性コーティング、保護構造は、シリコン、炭化シリコン、酸化シリコン、酸化イットリウム、または、さらされるプラズマ処理に適切なプラズマ耐性、導電性、および、熱伝導性を提供する基本的に任意のその他の材料で形成されてよい。
ガス供給口519および貫通孔517の各々は、中を通る流体流を最適化すると同時にプラズマの不都合な侵入を防ぐように規定される。ガス供給口519および貫通孔517の各々を通る流体流およびプラズマ侵入は、供給口または貫通孔のサイズに正比例する。したがって、ガス供給口519および貫通孔517の各々を、そのサイズが十分な流量を提供するのに十分な大きさを維持しつつ不都合なプラズマ侵入を防ぐのに十分小さくなるように規定する必要がある。様々な実施形態において、ガス供給口519の直径は、約0.1mmから約3mmの範囲のサイズを有する。様々な実施形態において、貫通孔517の直径は、約0.5mmから約5mmの範囲のサイズを有する。ただし、様々な実施形態において、ガス供給口519および貫通孔517は、それぞれ、直径サイズが十分な流量を提供すると同時にプラズマ侵入の適切な抑制を提供する限りは、基本的に任意の直径サイズを有するよう規定されてよいことを理解されたい。
チャック507が、チャンバ500の内部空洞500D内で下側プラズマ生成空間509の下方に配置されている。一実施形態において、チャック507は、チャンバ500の壁500Cから片持ちされている。一実施形態において、チャック507は、静電チャックであり、RF電力を下側プラズマ生成空間509に送るための電極を提供する。チャック507は、下側プラズマ生成空間509に露出させて、基板513(すなわち、ウエハ513)を保持するように規定される。一実施形態において、ウエハエッジリング549が、チャック507上の基板513保持領域の周囲に配置されている。様々な実施形態において、ウエハエッジリングは、石英またはシリコンで形成される。また、一実施形態において、導体548が、ウエハエッジリング549の下に配置され、ウエハエッジリング549を通してDCバイアスを駆動するように接続されている。
チャック507およびガス分配ユニット515の両方と垂直に測定される下側プラズマ生成空間509の垂直距離は、チャック507の垂直位置を制御することによって設定および制御されうる。下側プラズマ生成空間509の垂直距離は、十分な中央からエッジまでのプラズマ均一性および密度を達成するように設定され、さらに、ガス供給口519および貫通孔517から流れるガスの噴流によるウエハ513上への印刷を避けるように設定されうる。様々な実施形態において、下側プラズマ生成空間509の垂直距離は、約1cmから約5cmまたは約2cmから約3.6cmの範囲に設定されうる。
チャック507は、さらに、RF電源511から下側プラズマ生成空間509にRF電力を供給するよう規定されており、その結果、チャック507は、下側プラズマ生成空間509のための電極として機能する。下側プラズマチャンバのRF電源511は、上側プラズマチャンバのRF電源505と分離され、独立していることを理解されたい。したがって、上側および下側プラズマ生成空間503および509に供給されるRF電力は、別個に独立して制御されうる。
一実施形態において、RF電源511は、オンサイクルおよびオフサイクルを有するパルスRF電力を供給する。別の実施形態において、RF電源511は、2つの異なる周波数のRF電力を供給し、両方の周波数のRF電力がパルス状である。別の実施形態では、第1の周波数を有する一方のRF電力はパルス状であり、第2の周波数を有する他方のRF電力はパルス状ではない。パルスコントローラ430は、RF電源511に接続されており、RF電源511によって供給されるRF電力のパラメータを設定する。これらのパラメータは、電源511によって生成されるRF電力の周波数、電力、および、オン/オフ・デューティサイクルを含む。さらに、連続波コントローラ428は、RF電源505に接続されており、上側チャンバで生成されるRF電力の周波数および電力など、RF電源505によって生成されるRF電力のパラメータを設定する。
一実施形態において、RF電源511は、RF電力および複数の周波数を供給するよう規定されている。例えば、RF電源511は、2MHz、27MHz、および、60MHzの周波数のRF電力を供給するよう規定されてよい。上側および下側プラズマチャンバ512および514のためのRF電源505および511の各々は、RF電力の伝達を可能にするための自身の整合回路網を通して、それぞれ、シャワーヘッド電極501およびチャック507に接続されている。上述のように、一実施形態において、ガス分配ユニット515は、上側および下側プラズマ生成空間503および509の両方のためのRF電力帰還路における基準接地電極として機能する。
上側プラズマチャンバは、上側プラズマ生成空間503内のガスをチャンバ500の内部空洞500Dに排出するための排出路525を備えるよう規定される。圧力スロットルリング527が、排出路525内で移動して、上側プラズマ生成空間503から排出路525を通してチャンバ500の内部空洞500Dに至る流体流(すなわち、ガスの流れ)をスロットル調整する。一実施形態において、圧力スロットルリング527は、上側プラズマチャンバ512の外側構造部材504に共形に規定された凹部領域内で垂直に移動するよう規定される。この実施形態において、圧力スロットルリング527は、排出路525を通る流路の面積を小さくすることによって上側プラズマ生成空間503からの流体流量を絞るために、制御された方法で、排出路525内に下方移動されうる。一実施形態において、圧力スロットルリング527は、上側プラズマ生成空間503から排出路525を通してチャンバ500の内部空洞500Dに至る流れの完全な遮断を可能にするよう規定される。
図5に示した圧力スロットルリング527の構成は、その実装の一実施例であることを理解されたい。別の実施形態において、圧力スロットルリング527は、排出路525を通る流体流の制御を提供する限りは、別の方法で実装されてもよい。下側プラズマチャンバは、下側プラズマ生成空間509内のガスをチャンバ500の内部空洞500Dに排出するための1組のスロット排出路529を備えるよう規定される。
圧力制御リング531が、1組のスロット排出路529に近づいたり離れたりするように移動して、下側プラズマ生成空間509から1組のスロット排出路529を通してチャンバ500の内部空洞500Dに至る流体流(すなわち、ガスの流れ)をスロットル調整する。一実施形態において、圧力制御リング531は、1組のスロット排出路529に近づいたり離れたりするように垂直方向に移動可能な水平方向の環状ディスクとして規定される。圧力制御リング531は、1組のスロット排出路529に当たるように配置された時、すなわち、1組のスロット排出路529が形成された外側構造部材506の水平部分の下面に当たるように配置された時、(内部空洞500Dの側で)1組のスロット排出路529を覆うように規定されている。
下側プラズマ生成空間509から1組のスロット排出路529を通ってチャンバ500の内部空洞500Dに至る流体流は、1組のスロット排出路529に近づいたり離れたりする圧力制御リング531の垂直移動によって、スロットル調整すなわち制御されうる。一実施形態において、圧力スロットルリング531は、下側プラズマ生成空間509から1組のスロット排出路529を通してチャンバ500の内部空洞500Dに至る流れの完全な遮断を可能にするよう規定される。また、一実施形態において、圧力計が、下側プラズマ生成空間509内の圧量を測定するために配置される。この実施形態では、下側プラズマ生成空間509内で測定された圧力は、圧力制御リング531の位置を制御するためのフィードバック信号を生成するために用いられ、それにより、下側プラズマ生成空間509内の圧力のアクティブ制御が実現される。
上側プラズマチャンバ512および下側プラズマチャンバ514の両方が、それぞれの閉じ込めプラズマを囲むことを理解されたい。閉じ込めプラズマは、プラズマ領域すなわち上側および下側プラズマ生成空間503および509内の体積、圧力、および、流量を制御することにより、プラズマの滞留時間を制御できる点で有利である。プラズマ滞留時間は、ラジカルおよび中性子の形成の要因である解離プロセスに影響を与える。
上述のように、上側および下側プラズマチャンバ512および514は、それぞれのRF電源制御、圧力制御、温度制御、プラズマ処理ガス源制御、および、ガス流量制御を行う。様々な実施形態において、上側プラズマ生成空間503内の圧力は、約100mTorrから約1Torrまたは約200mTorrから約600mTorrの範囲で制御されうる。様々な実施形態において、下側プラズマ生成空間509内の圧力は、約5mTorrから約100mTorrまたは約10mTorrから約30mTorrの範囲で制御されうる。
シャワーヘッド電極501を備えた図5の実施形態において、上側プラズマチャンバ512は、容量結合プラズマチャンバである。この実施形態において、シャワーヘッド電極501の下面およびガス分配ユニット515の上面の間で垂直に測定される上側プラズマ生成空間503の垂直距離は、約1cmから約5cmの範囲に設定される。一実施形態において、上側プラズマ生成空間503の垂直距離は、約2cmである。別の実施形態において、シャワーヘッド電極501は、機能的に誘導コイルに置き換えられてもよく、その場合、上側プラズマチャンバ512は、誘導結合プラズマチャンバになる。この実施形態において、上側プラズマ生成空間503の垂直距離は、最大約12cmであってよい。
ガス分配ユニット515は、上側プラズマ生成空間503と下側プラズマ生成空間509との間に配置されている。ガス分配ユニット515は、上側プラズマ生成空間503を下側プラズマ生成空間509から隔てるように形成されたプレートとして規定されており、それにより、ガス分配ユニット515のプレートの上面が、上側プラズマ生成空間503の下側の境界となり、ガス分配ユニット515のプレートの下面が、下側プラズマ生成空間509の上側の境界となる。
ガス分配ユニット515は、下側プラズマチャンバ514の外側構造部材506によって固定位置に保持される。ガス分配ユニット515は、ガス供給口519の配列を通して下側プラズマ生成空間509にプラズマ処理ガスを供給するよう規定されている。ガス分配ユニット515は、さらに、上側プラズマ生成空間503と下側プラズマ生成空間509との間の制御された流体連通を提供するために、貫通孔517の配列を備えるよう規定されている。貫通孔517の各々は、ガス分配ユニット515を貫通してその上面から下面まで伸びる。
図6は、本発明の一実施形態に従って、上側プラズマ601と下側プラズマ603とを含む図5のチャンバ500を示す。上側および下側プラズマチャンバ512および514の独立制御は、特にイオン束に対するラジカル束および中性子束の独立制御に関して、ウエハ処理レシピに幅広い可能性を提供することを理解されたい。以下で、2例のウエハ処理を提供する。ただし、本明細書に開示するウエハ処理の例は、例示に過ぎず、本明細書に開示されたデュアルプラズマ処理チャンバ500の利用に対するいかなる限定を示すものでもないことを理解されたい。
一実施例において、チャンバ500は、高フッ素ラジカルおよび中性子束と共に、ウエハ処理プラズマ内の低解離のC(C、Cなど)を利用するウエハ処理を実行するために用いられる。この実施例では、ArおよびNFの混合ガスが、プラズマ処理ガスとして上側プラズマ生成空間503に供給される。上側プラズマ生成空間503は、高圧および高RF周波数(60MHz)で作動される。高フッ素ラジカルおよび中性子束は、上側プラズマ生成空間503で生成され、ガス分配ユニット515の貫通孔517を通して流される。上側プラズマ生成空間503で生成されたイオンは、ガス分配ユニット515によってろ過される。
また、この実施例では、ArおよびCの混合ガスが、プラズマ処理ガスとして下側プラズマ生成空間509に供給される。下側プラズマ生成空間509は、低圧と、パルスRF電力を伴った低ないし中程度のRF周波数(2MHzおよび27MHz)とで作動される。下側プラズマ生成空間509の低RF周波数は、ウエハ513に暴露されるプラズマ内のCの低解離に対応する。必要なフッ素ラジカルおよび中性子束を生成するために上側プラズマ生成空間503で必要とされる高電力が、下側プラズマ生成空間509に印加されたとしたら、Cの高解離を引き起こすことを理解されたい。したがって、デュアルプラズマチャンバ500は、上記の処理の実行を可能にする。
別の実施例において、チャンバ500は、高圧空間における高解離のCxFy(C、Cなど)と共に低圧空間における高濃度のArプラズマを利用するウエハ処理を実行するために用いられる。この実施例では、CおよびArの混合ガスが、プラズマ処理ガスとして上側プラズマ生成空間503に供給される。上側プラズマ生成空間503は、高圧および高RF周波数(60MHz)で作動され、Cの高解離を引き起こす。上側プラズマ生成空間503で生成された高解離のCは、ガス分配ユニット515の貫通孔517を通して流れる。上側プラズマ生成空間503で生成されたイオンは、ガス分配ユニット515によってろ過される。また、この実施例では、Arガスが、プラズマ処理ガスとして下側プラズマ生成空間509に供給される。下側プラズマ生成空間509は、低圧と、低ないし中程度のRF周波数(2MHzおよび27MHz)とで作動され、高イオン束を有する高密度のArプラズマを生成する。
デュアルプラズマチャンバ500は、ラジカルおよび中性子束の生成をイオンプラズマの生成から分離するよう規定されていることを理解されたい。また、一実施形態において、下側プラズマチャンバ514は、機能しなくてもよく(すなわち、排出のみを行ってもよく)、その場合、ウエハ513をプラズマに暴露することなしに、上側プラズマチャンバ512からのラジカルおよび中性子束をウエハ513に供給することができる。
図7は、一実施形態に従って、正規化したイオン束をプレートの厚さの関数として示す。上側チャンバと下側チャンバとの間の相互作用に影響するパラメータの1つは、チャンバの間のプレートの構成である。厚さは貫通孔の長さを規定し、貫通孔が長いほど、イオンおよび電子が上側チャンバから下側チャンバに移動する際の障害が大きくなる。
図7のグラフは、アルゴンチャンバにおいて300Wで27MHzのRF周波数を用いて、下側チャンバ内の異なる圧力で、正規化されたイオン束について、デュアルチャンバで得られた測定値を示す。予想通り、イオン束は、貫通孔の長さ(深さ)が大きくなるにつれて減少する。さらに、下側チャンバ内の圧力が大きくなるほど、流体および種が下側チャンバまで移動する際の抵抗が増すため、イオン束は減少する。
上側チャンバと下側チャンバとの間の流れに影響する第2の要因は、貫通孔の直径である。予想通り、貫通孔の直径が大きいほど、下側チャンバへの粒子束が大きくなる。上側チャンバと下側チャンバとの間の相互作用に影響する別の要因は、プレートにおける貫通孔の数および分布である。貫通孔の数が多いほど、上側および下側チャンバの間の通路が多くなるため、より多くの電子が、上側チャンバから下側チャンバに移動する。
一実施形態において、グリッドは27mm厚であり(すなわち、貫通孔は27mmの長さを有する)、貫通孔は2mmの直径を有しているが、他の値も可能である。一実施形態において、グリッドの厚さは、10mmから30mmの間である。様々な実施形態において、貫通孔の直径は、約0.5mmから約5mmの範囲のサイズを有する。ただし、様々な実施形態において、貫通孔は、それぞれ、直径サイズが十分な流量を提供すると同時にプラズマ侵入の適切な抑制を提供する限りは、基本的に任意の直径サイズを有するよう規定されてよいことを理解されたい。
図8は、本発明の一実施形態に従って、半導体ウエハ処理装置を動作させるためのアルゴリズムを示すフローチャートである。図8の実施形態は、上側チャンバと下側チャンバとの間の種の流れを制御するために実行されうる様々な動作を示す。
動作802で、上側プラズマチャンバのためのパラメータが設定される。これらのパラメータは、RF電源の動作周波数、RF電源の電圧およびワット数、上側チャンバ内の圧力、上側チャンバに注入されるガスなどを含む。動作804で、下側プラズマチャンバのためのパラメータが設定される。上側チャンバについて上述したのと同じパラメータが、下側チャンバについても調整されてよい。さらに、上側チャンバと下側チャンバとを隔てるプレートのためのパラメータも規定される。プレートのためのパラメータは、プレートの厚さ、プレートにおける貫通孔の数および分布、貫通孔の直径などを含む。
動作806で、ウエハが、下側チャンバ内で、動作802および804で設定されたパラメータを用いて処理される。ウエハが処理された後に、オペレータは、ウエハ処理を改善するために、チャンバのパラメータのいくつかを調整することに決めてもよい。チャンバ内でのエッチングの質を決定するために、プローブを用いて、チャンバの性能を測ることができる。例えば、プローブを用いて、上側チャンバから下側チャンバへのイオン束を測定できる。
動作810で、電力が調整されるべきか否かを判定するためにチェックが行われ、動作810でのチェックの結果が、調整を必要とする結果である場合、方法は、動作812に進む。動作812では、上側または下側チャンバのためもしくは上側および下側チャンバの両方のためのワット数が設定される。チャンバにおける電力が増大されると、プラズマ内の粒子の数も増大する。
動作814で、RF電源の電圧が調整されるべきか否かを判定するためにチェックが行われ、電圧を調整すべき場合には、動作816で、上側および/または下側チャンバのための新たな電圧レベルが設定される。上述のように、下側チャンバは、パルスRF電源を備える。動作820で、RF電源のパルスサイクルが調整されるべきか否かを判定するためにチェックが行われる。動作826で、オンおよびオフ期間の持続時間(すなわち、RF信号のサイクル)が設定される。例えば、陰イオンエッチングが増強される必要がある場合、より高いアフターグローエッチングを可能にするために、オフ期間が延長されてよい。
動作822で、第1のチャンバまたは第2のチャンバ内の圧力が調整されるべきか否かを判定するためにチェックが行われる。いずれかのチャンバ内の圧力が変更されるべき場合、動作828で、上側チャンバ、下側チャンバ、または、両方のチャンバの圧力が調整される。図7を参照して上述したように、上側チャンバと下側チャンバとの間の圧力差が大きいほど、チャンバ間の粒子の流量が大きくなる。
さらに、動作824で、プレートのパラメータが変更される必要があるか否かを判定するためにチェックが行われる。上述のように、プレートの厚さ、貫通孔の数、分布、および、サイズなど、プレートのいくつかのパラメータが変更されうる。プレートのパラメータが調整されるべき場合、動作830で、プレートの上記のパラメータのいずれかが調整されうる。
調整すべきパラメータがあれば、チャンバの全パラメータが調整され、その後、方法は、動作806に戻って、ウエハの処理を続ける。図8に示した実施形態は、例示であることに注意されたい。別の実施形態が、異なる調整内容を用いる、異なる順序で調整を実行する、または、定期的にチェックを行うなどしてもよい。したがって、図8に示した実施形態は、排他的でも限定的でもなく、例示または説明のためのものと解釈されるべきである。
図9は、本発明の一実施形態に従って、ウエハを処理するためのアルゴリズムを示すフローチャートである。動作902で、上側チャンバ内の上側電極に接続された第1のRF電源によって生成される連続高周波(RF)信号のための第1のパラメータが設定される。第1のパラメータは、第1の電圧および第1の周波数を含む。さらに、動作904で、下側チャンバ内の下側電極に接続された第2のRF電源によって生成されるパルスRF信号のための第2のパラメータが設定される。第2のパラメータは、第2の電圧、第2の周波数、オン期間の持続時間、および、オフ期間の持続時間を含む。
動作906で、連続RF信号が上側電極に印加され、動作908で、パルスRF信号が下側電極に印加される。第1のパラメータおよび第2のパラメータを設定することにより、両チャンバの動作中に上側チャンバから下側チャンバに流れる種の流量を制御する。種の流れは、陰イオンエッチングと、オフ期間のアフターグロー中のウエハ表面上の過剰な正電荷の中和と、オン期間中の下側チャンバにおけるプラズマの再点火とを支援する。
図10は、本発明の実施形態を実施するためのコンピュータシステムを示す概略図である。本明細書に記載の方法は、従来の汎用コンピュータシステムなどのデジタル処理システムを用いて実行されてよいことを理解されたい。あるいは、1つの機能のみを実行するよう設計またはプログラムされた専用コンピュータが用いられてもよい。コンピュータシステムは、中央処理装置(CPU)1004を備えており、CPUは、バス1010を介して、ランダムアクセスメモリ(RAM)1028、読み出し専用メモリ(ROM)1012、および、マスストレージデバイス1014に接続されている。位相制御プログラム1008が、ランダムアクセスメモリ(RAM)1028に格納されているが、マスストレージデバイス1014またはROM1012に格納されてもよい。
マスストレージデバイス1014は、フロッピー(登録商標)ディスクドライブまたは固定ディスクドライブなどの永続データ記憶装置であり、ローカルであってもリモートであってもよいネットワークインターフェース1030が、ネットワーク1032を介して接続を提供し、他のデバイスとの通信を可能にする。CPU1004は、汎用プロセッサ、専用プロセッサ、または、特別にプログラムされた論理デバイスとして実装されうることを理解されたい。入力/出力(I/O)インターフェースが、様々な周辺機器との通信を提供しており、バス1010を介して、CPU1004、RAM1028、ROM1012、および、マスストレージデバイス1014に接続されている。周辺機器の例は、ディスプレイ1018、キーボード1022、カーソルコントロール1024、リムーバブルメディアデバイス1034などである。
ディスプレイ1018は、本明細書に記載のユーザインターフェースを表示するよう構成される。キーボード1022、カーソルコントロール1024、リムーバブルメディアデバイス1034、および、その他の周辺機器は、CPU1004に命令選択の情報を通信するために、I/Oインターフェース1020に接続される。外部デバイスとのデータのやりとりは、I/Oインターフェース1020を介して通信されてよいことを理解されたい。本発明は、有線または無線ネットワークを通して接続された遠隔処理デバイスによってタスクが実行される分散コンピューティング環境で実施されてもよい。
本発明の実施形態は、ハンドヘルドデバイス、マイクロプロセッサシステム、マイクロプロセッサベースまたはプログラム可能な家電、ミニコンピュータ、メインフレームコンピュータなど、様々なコンピュータシステム構成で実施されてもよい。本発明は、ネットワークを通して接続された遠隔処理デバイスによってタスクが実行される分散コンピューティング環境で実施されてもよい。
上述の実施形態を念頭に置いて、本発明は、コンピュータシステムに格納されたデータを含め、コンピュータに実装された様々な動作を利用できることを理解されたい。これらの動作は、物理量の物理操作を必要とするものである。本発明の一部を形成する本明細書で説明した動作はいずれも、有用な機械動作である。本発明は、さらに、これらの動作を実行するためのデバイスまたは装置に関する。装置は、専用コンピュータなど、必要とされる目的向けに特別に構築されてよい。専用コンピュータとして規定された場合、コンピュータは、特殊目的に含まれない他の処理、プログラム実行、または、ルーチンも実行しつつ、特殊目的のために動作することができる。あるいは、動作は、コンピュータメモリ、キャッシュに格納されたまたはネットワークを介して取得された1または複数のコンピュータプログラムによって選択的にアクティベートまたは構成された汎用コンピュータで処理されてもよい。データがネットワークを介して取得されると、そのデータは、ネットワーク(例えば、コンピューティングリソースのクラウド)上の他のコンピュータによって処理されてもよい。
本発明の1または複数実施形態は、コンピュータ読み取り可能な媒体上にコンピュータ読み取り可能なコードとして製造されてもよい。コンピュータ読み取り可能な媒体は、コンピュータシステムによって読み出し可能であるようにデータを格納できる任意のデータ記憶装置である。コンピュータ読み取り可能な媒体の例としては、ハードドライブ、ネットワーク接続ストレージ(NAS)、読み出し専用メモリ、ランダムアクセスメモリ、CD−ROM、CD−R、CD−RW、磁気テープ、および、その他の光学および非光学式のデータ記憶装置が挙げられる。コンピュータ読み取り可能な媒体は、コンピュータ読み取り可能なコードが分散的に格納および実行されるように、ネットワーク接続されたコンピュータシステム上に分散されたコンピュータ読み取り可能なタンジブル媒体を含みうる。
方法の動作は特定の順番で記載されているが、オーバーレイ動作の処理が望ましく実行される限りは、他のハウスキーピング動作が動作の合間に実行されてもよいし、動作が若干異なる時間に実行されるように調整されてもよいし、処理に関連した様々な間隔で処理動作が起きることを許容するシステムに分散されてもよいことを理解されたい。
理解を深めるために、本発明について、ある程度詳しく説明したが、添付の特許請求の範囲内でいくらかの変更と変形を行ってもよいことは明らかである。したがって、これらの実施形態は、例示的なものであって、限定的なものではないとみなされ、本発明は、本明細書に示した詳細に限定されず、添付の特許請求の範囲および等価物の範囲内で変形されてよい。
本発明は、たとえば、以下のような態様で実現することもできる。
適用例1:
上側チャンバを下側チャンバに流体連通させるプレートによって隔てられた前記上側チャンバおよび前記下側チャンバを備えたウエハ処理装置であって、
前記上側チャンバ内の上側電極に接続された第1の高周波(RF)電源の電圧および周波数を設定するよう動作可能な連続波(CW)コントローラと、
前記下側チャンバ内の下側電極に接続された第2のRF電源によって生成されるパルスRF信号の電圧、周波数、オン期間の持続時間、および、オフ期間の持続時間を設定するよう動作可能なパルスコントローラと、
前記チャンバの動作中に前記プレートを通して前記上側チャンバから前記下側チャンバに流れる種の流れを制御するために、前記CWコントローラおよび前記パルスコントローラのパラメータを設定するよう動作可能なシステムコントローラと、
を備え、
前記種の流れは、陰イオンエッチングと、前記オフ期間のアフターグロー中の前記ウエハの表面における過剰な正荷電の中和と、前記オン期間中の前記下側チャンバにおけるプラズマの再点火とを支援する、ウエハ処理装置。
適用例2:
適用例1のウエハ処理装置であって、前記システムコントローラは、さらに、前記上側チャンバ内の第1の圧力および前記下側チャンバ内の第2の圧力を設定するよう動作可能であり、前記第1の圧力は前記第2の圧力よりも高い、ウエハ処理装置。
適用例3:
適用例2のウエハ処理装置であって、前記オン期間の持続時間は、前記オフ期間の持続時間と異なる、ウエハ処理装置。
適用例4:
適用例2のウエハ処理装置であって、前記オン期間の持続時間は、前記オフ期間の持続時間と等しい、ウエハ処理装置。
適用例5:
適用例1のウエハ処理装置であって、前記第1のRF電源の周波数は、27MHzから100MHzの間の値を有する、ウエハ処理装置。
適用例6:
適用例1のウエハ処理装置であって、前記第2のRF電源の周波数は、0.4MHzから25MHzの間の値を有する、ウエハ処理装置。
適用例7:
適用例1のウエハ処理装置であって、前記第1のRF電源の電圧は、100Vから600Vの間の値を有する、ウエハ処理装置。
適用例8:
適用例1のウエハ処理装置であって、前記第2のRF電源の電圧は、1000Vから6000Vの間の値を有する、ウエハ処理装置。
適用例9:
適用例1のウエハ処理装置であって、前記上側チャンバは、前記ウエハの処理中に前記上側チャンバ内で上側プラズマを形成するよう動作可能である、ウエハ処理装置。
適用例10:
適用例1のウエハ処理装置であって、前記上側チャンバは、処理中に20mTorrから60mTorrの間の第1の圧力を有するよう動作可能であり、前記下側チャンバは、処理中に10mTorrから19mTorrの間の第2の圧力を有するよう動作可能である、ウエハ処理装置。
適用例11:
上側チャンバを下側チャンバに流体連通させるプレートによって隔てられた前記上側チャンバおよび前記下側チャンバを備えたウエハ処理装置でウエハを処理するための方法であって、
前記上側チャンバ内の上側電極に接続された第1のRF電源によって生成される連続高周波(RF)信号のための第1のパラメータを設定する工程であって、前記第1のパラメータは、第1の電圧および第1の周波数を含む、工程と、
前記下側チャンバ内の下側電極に接続された第2のRF電源によって生成されるパルスRF信号のための第2のパラメータを設定する工程であって、前記第2のパラメータは、第2の電圧、第2の周波数、オン期間の持続時間、および、オフ期間の持続時間を含む、工程と、
前記連続RF信号を前記上側電極に印加する工程と、
前記パルスRF信号を前記下側電極に印加する工程と、
を備え、
前記第1のパラメータおよび前記第2のパラメータを設定することにより、前記チャンバの動作中に前記上側チャンバから前記下側チャンバへの種の流れを制御し、前記種の流れは、陰イオンエッチングと、前記オフ期間のアフターグロー中の前記ウエハの表面における過剰な正荷電の中和と、前記オン期間中の前記下側チャンバにおけるプラズマの再点火とを支援する、方法。
適用例12:
適用例11の方法であって、さらに、
前記上側チャンバ内の第1の圧力を設定する工程と、
前記下側チャンバ内の第2の圧力を設定する工程と、
を備える、方法。
適用例13:
適用例12の方法であって、さらに、
前記第1の圧力を増大させて、前記上側チャンバから前記下側チャンバへの前記種の流れを増大させる工程を備える、方法。
適用例14:
適用例11の方法であって、さらに、
前記上側チャンバおよび前記下側チャンバを隔てる前記プレートの貫通孔の長さを調節する工程を備え、前記貫通孔の前記長さを短くすることにより、前記上側チャンバから前記下側チャンバへの前記種の流れを増大させる、方法。
適用例15:
適用例14の方法であって、さらに、
前記プレートの前記貫通孔の数を減らして、前記上側チャンバおよび前記下側チャンバの間の前記種の流れを減少させる工程を備える、方法。
適用例16:
適用例11の方法であって、前記第1のパラメータを設定する工程は、
前記第1の電圧を上げて、前記種の流れを増大させる工程を含む、方法。
適用例17:
適用例11の方法であって、前記方法の工程は、1または複数のプロセッサによって実施される場合にコンピュータプログラムによって実行され、前記コンピュータプログラムは、非一時的なコンピュータ読み取り可能記憶媒体に格納される、方法。
適用例18:
上側チャンバを下側チャンバに流体連通させるプレートによって隔てられた前記上側チャンバおよび前記下側チャンバを備えたウエハ処理装置であって、
前記上側チャンバ内の上側電極に接続された第1の高周波(RF)電源のための第1のパラメータを設定するよう動作可能な連続波(CW)コントローラと、
前記下側チャンバ内の下側電極に接続された第2のRF電源によって生成される第2のパルスRF信号のための第2のパラメータを設定すると共に、前記下側電極に接続された第3のRF電源によって生成される第3のパルスRF信号のための第3のパラメータを設定するよう動作可能なパルスコントローラと、
前記チャンバの動作中に前記プレートを通して前記上側チャンバから前記下側チャンバに流れる種の流れを制御するために、前記第1、第2、および、第3のパラメータを転送するよう動作可能なシステムコントローラと、
を備え、
前記種の流れは、陰イオンエッチングと、オフ期間のアフターグロー中の前記ウエハの表面における過剰な正荷電の中和と、オン期間中の前記下側チャンバにおけるプラズマの再点火とを支援する、ウエハ処理装置。
適用例19:
適用例18のウエハ処理装置であって、前記第1のRF電源は、30MHzから100MHzまでの間の周波数を有し、前記第2のRF電源は、0.4MHzから4MHzまでの間の周波数を有し、前記第3のRF電源は、20MHzから100MHzまでの間の周波数を有する、ウエハ処理装置。
適用例20:
適用例18のウエハ処理装置であって、前記上側チャンバは、処理中に20mTorrから60mTorrの間の第1の圧力を有するよう動作可能であり、前記下側チャンバは、処理中に10mTorrから19mTorrの間の第2の圧力を有するよう動作可能である、ウエハ処理装置。

Claims (20)

  1. 上側チャンバを下側チャンバに流体連通させるプレートによって隔てられた前記上側チャンバおよび前記下側チャンバを備えたウエハ処理装置であって、
    前記上側チャンバ内の上側電極に接続された第1の高周波(RF)電源の電圧および周波数を設定するよう動作可能な連続波(CW)コントローラと、
    前記下側チャンバ内の下側電極に接続された第2のRF電源によって生成されるパルスRF信号の電圧、周波数、オン期間の持続時間、および、オフ期間の持続時間を設定するよう動作可能なパルスコントローラと、
    前記チャンバの動作中に前記プレートを通して前記上側チャンバから前記下側チャンバに流れる種の流れを制御するために、前記CWコントローラおよび前記パルスコントローラのパラメータを設定するよう動作可能なシステムコントローラと、
    を備え、
    前記種の流れは、陰イオンエッチングと、前記オフ期間のアフターグロー中の前記ウエハの表面における過剰な正荷電の中和と、前記オン期間中の前記下側チャンバにおけるプラズマの再点火とを支援する、ウエハ処理装置。
  2. 請求項1に記載のウエハ処理装置であって、前記システムコントローラは、さらに、前記上側チャンバ内の第1の圧力および前記下側チャンバ内の第2の圧力を設定するよう動作可能であり、前記第1の圧力は前記第2の圧力よりも高い、ウエハ処理装置。
  3. 請求項2に記載のウエハ処理装置であって、前記オン期間の持続時間は、前記オフ期間の持続時間と異なる、ウエハ処理装置。
  4. 請求項2に記載のウエハ処理装置であって、前記オン期間の持続時間は、前記オフ期間の持続時間と等しい、ウエハ処理装置。
  5. 請求項1に記載のウエハ処理装置であって、前記第1のRF電源の周波数は、27MHzから100MHzの間の値を有する、ウエハ処理装置。
  6. 請求項1に記載のウエハ処理装置であって、前記第2のRF電源の周波数は、0.4MHzから25MHzの間の値を有する、ウエハ処理装置。
  7. 請求項1に記載のウエハ処理装置であって、前記第1のRF電源の電圧は、100Vから600Vの間の値を有する、ウエハ処理装置。
  8. 請求項1に記載のウエハ処理装置であって、前記第2のRF電源の電圧は、1000Vから6000Vの間の値を有する、ウエハ処理装置。
  9. 請求項1に記載のウエハ処理装置であって、前記上側チャンバは、前記ウエハの処理中に前記上側チャンバ内で上側プラズマを形成するよう動作可能である、ウエハ処理装置。
  10. 請求項1に記載のウエハ処理装置であって、前記上側チャンバは、処理中に20mTorrから60mTorrの間の第1の圧力を有するよう動作可能であり、前記下側チャンバは、処理中に10mTorrから19mTorrの間の第2の圧力を有するよう動作可能である、ウエハ処理装置。
  11. 上側チャンバを下側チャンバに流体連通させるプレートによって隔てられた前記上側チャンバおよび前記下側チャンバを備えたウエハ処理装置でウエハを処理するための方法であって、
    前記上側チャンバ内の上側電極に接続された第1のRF電源によって生成される連続高周波(RF)信号のための第1のパラメータを設定する工程であって、前記第1のパラメータは、第1の電圧および第1の周波数を含む、工程と、
    前記下側チャンバ内の下側電極に接続された第2のRF電源によって生成されるパルスRF信号のための第2のパラメータを設定する工程であって、前記第2のパラメータは、第2の電圧、第2の周波数、オン期間の持続時間、および、オフ期間の持続時間を含む、工程と、
    前記連続RF信号を前記上側電極に印加する工程と、
    前記パルスRF信号を前記下側電極に印加する工程と、
    を備え、
    前記第1のパラメータおよび前記第2のパラメータを設定することにより、前記チャンバの動作中に前記上側チャンバから前記下側チャンバへの種の流れを制御し、前記種の流れは、陰イオンエッチングと、前記オフ期間のアフターグロー中の前記ウエハの表面における過剰な正荷電の中和と、前記オン期間中の前記下側チャンバにおけるプラズマの再点火とを支援する、方法。
  12. 請求項11に記載の方法であって、さらに、
    前記上側チャンバ内の第1の圧力を設定する工程と、
    前記下側チャンバ内の第2の圧力を設定する工程と、
    を備える、方法。
  13. 請求項12に記載の方法であって、さらに、
    前記第1の圧力を増大させて、前記上側チャンバから前記下側チャンバへの前記種の流れを増大させる工程を備える、方法。
  14. 請求項11に記載の方法であって、さらに、
    前記上側チャンバおよび前記下側チャンバを隔てる前記プレートの貫通孔の長さを調節する工程を備え、前記貫通孔の前記長さを短くすることにより、前記上側チャンバから前記下側チャンバへの前記種の流れを増大させる、方法。
  15. 請求項14に記載の方法であって、さらに、
    前記プレートの前記貫通孔の数を減らして、前記上側チャンバおよび前記下側チャンバの間の前記種の流れを減少させる工程を備える、方法。
  16. 請求項11に記載の方法であって、前記第1のパラメータを設定する工程は、
    前記第1の電圧を上げて、前記種の流れを増大させる工程を含む、方法。
  17. 請求項11に記載の方法であって、前記方法の工程は、1または複数のプロセッサによって実施される場合にコンピュータプログラムによって実行され、前記コンピュータプログラムは、非一時的なコンピュータ読み取り可能記憶媒体に格納される、方法。
  18. 上側チャンバを下側チャンバに流体連通させるプレートによって隔てられた前記上側チャンバおよび前記下側チャンバを備えたウエハ処理装置であって、
    前記上側チャンバ内の上側電極に接続された第1の高周波(RF)電源のための第1のパラメータを設定するよう動作可能な連続波(CW)コントローラと、
    前記下側チャンバ内の下側電極に接続された第2のRF電源によって生成される第2のパルスRF信号のための第2のパラメータを設定すると共に、前記下側電極に接続された第3のRF電源によって生成される第3のパルスRF信号のための第3のパラメータを設定するよう動作可能なパルスコントローラと、
    前記チャンバの動作中に前記プレートを通して前記上側チャンバから前記下側チャンバに流れる種の流れを制御するために、前記第1、第2、および、第3のパラメータを転送するよう動作可能なシステムコントローラと、
    を備え、
    前記種の流れは、陰イオンエッチングと、オフ期間のアフターグロー中の前記ウエハの表面における過剰な正荷電の中和と、オン期間中の前記下側チャンバにおけるプラズマの再点火とを支援する、ウエハ処理装置。
  19. 請求項18に記載のウエハ処理装置であって、前記第1のRF電源は、30MHzから100MHzまでの間の周波数を有し、前記第2のRF電源は、0.4MHzから4MHzまでの間の周波数を有し、前記第3のRF電源は、20MHzから100MHzまでの間の周波数を有する、ウエハ処理装置。
  20. 請求項18に記載のウエハ処理装置であって、前記上側チャンバは、処理中に20mTorrから60mTorrの間の第1の圧力を有するよう動作可能であり、前記下側チャンバは、処理中に10mTorrから19mTorrの間の第2の圧力を有するよう動作可能である、ウエハ処理装置。
JP2018217816A 2011-09-07 2018-11-21 デュアルチャンバ構成のパルスプラズマチャンバ Active JP6671446B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/227,404 US20130059448A1 (en) 2011-09-07 2011-09-07 Pulsed Plasma Chamber in Dual Chamber Configuration
US13/227,404 2011-09-07

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2017198963A Division JP6441434B2 (ja) 2011-09-07 2017-10-13 デュアルチャンバ構成のパルスプラズマチャンバ

Publications (2)

Publication Number Publication Date
JP2019050413A true JP2019050413A (ja) 2019-03-28
JP6671446B2 JP6671446B2 (ja) 2020-03-25

Family

ID=47753486

Family Applications (3)

Application Number Title Priority Date Filing Date
JP2014529751A Active JP6382719B2 (ja) 2011-09-07 2012-08-17 デュアルチャンバ構成のパルスプラズマチャンバ
JP2017198963A Active JP6441434B2 (ja) 2011-09-07 2017-10-13 デュアルチャンバ構成のパルスプラズマチャンバ
JP2018217816A Active JP6671446B2 (ja) 2011-09-07 2018-11-21 デュアルチャンバ構成のパルスプラズマチャンバ

Family Applications Before (2)

Application Number Title Priority Date Filing Date
JP2014529751A Active JP6382719B2 (ja) 2011-09-07 2012-08-17 デュアルチャンバ構成のパルスプラズマチャンバ
JP2017198963A Active JP6441434B2 (ja) 2011-09-07 2017-10-13 デュアルチャンバ構成のパルスプラズマチャンバ

Country Status (7)

Country Link
US (4) US20130059448A1 (ja)
JP (3) JP6382719B2 (ja)
KR (1) KR101983866B1 (ja)
CN (2) CN106128931B (ja)
SG (2) SG10201602732TA (ja)
TW (2) TWI562232B (ja)
WO (1) WO2013036371A2 (ja)

Families Citing this family (144)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9117767B2 (en) * 2011-07-21 2015-08-25 Lam Research Corporation Negative ion control for dielectric etch
US9793126B2 (en) 2010-08-04 2017-10-17 Lam Research Corporation Ion to neutral control for wafer processing with dual plasma source reactor
US20130059448A1 (en) * 2011-09-07 2013-03-07 Lam Research Corporation Pulsed Plasma Chamber in Dual Chamber Configuration
US9039911B2 (en) 2012-08-27 2015-05-26 Lam Research Corporation Plasma-enhanced etching in an augmented plasma processing system
US9083182B2 (en) 2011-11-21 2015-07-14 Lam Research Corporation Bypass capacitors for high voltage bias power in the mid frequency RF range
US9263240B2 (en) 2011-11-22 2016-02-16 Lam Research Corporation Dual zone temperature control of upper electrodes
US9396908B2 (en) 2011-11-22 2016-07-19 Lam Research Corporation Systems and methods for controlling a plasma edge region
US10586686B2 (en) 2011-11-22 2020-03-10 Law Research Corporation Peripheral RF feed and symmetric RF return for symmetric RF delivery
WO2013078098A1 (en) * 2011-11-23 2013-05-30 Lam Research Corporation Multi zone gas injection upper electrode system
SG11201402447TA (en) 2011-11-24 2014-06-27 Lam Res Corp Plasma processing chamber with flexible symmetric rf return strap
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9048190B2 (en) * 2012-10-09 2015-06-02 Applied Materials, Inc. Methods and apparatus for processing substrates using an ion shield
US9165783B2 (en) * 2012-11-01 2015-10-20 Applied Materials, Inc. Method of patterning a low-k dielectric film
US9401264B2 (en) * 2013-10-01 2016-07-26 Lam Research Corporation Control of impedance of RF delivery path
US9337000B2 (en) 2013-10-01 2016-05-10 Lam Research Corporation Control of impedance of RF return path
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9230819B2 (en) 2013-04-05 2016-01-05 Lam Research Corporation Internal plasma grid applications for semiconductor fabrication in context of ion-ion plasma processing
US9245761B2 (en) 2013-04-05 2016-01-26 Lam Research Corporation Internal plasma grid for semiconductor fabrication
US9490149B2 (en) * 2013-07-03 2016-11-08 Lam Research Corporation Chemical deposition apparatus having conductance control
US9017526B2 (en) 2013-07-08 2015-04-28 Lam Research Corporation Ion beam etching system
US9147581B2 (en) 2013-07-11 2015-09-29 Lam Research Corporation Dual chamber plasma etcher with ion accelerator
US9589799B2 (en) * 2013-09-30 2017-03-07 Lam Research Corporation High selectivity and low stress carbon hardmask by pulsed low frequency RF power
US10892140B2 (en) 2018-07-27 2021-01-12 Eagle Harbor Technologies, Inc. Nanosecond pulser bias compensation
JP6247087B2 (ja) * 2013-12-18 2017-12-13 東京エレクトロン株式会社 処理装置および活性種の生成方法
JP6157385B2 (ja) * 2014-03-11 2017-07-05 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
KR102222902B1 (ko) * 2014-05-12 2021-03-05 삼성전자주식회사 플라즈마 장비 및 이를 이용한 반도체 소자의 제조 방법
KR20160022458A (ko) 2014-08-19 2016-03-02 삼성전자주식회사 플라즈마 장비 및 이의 동작 방법
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9793104B2 (en) 2015-01-29 2017-10-17 Aixtron Se Preparing a semiconductor surface for epitaxial deposition
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US10475626B2 (en) 2015-03-17 2019-11-12 Applied Materials, Inc. Ion-ion plasma atomic layer etch process and reactor
US9799494B2 (en) * 2015-04-03 2017-10-24 Tokyo Electron Limited Energetic negative ion impact ionization plasma
FR3035881B1 (fr) * 2015-05-04 2019-09-27 Sidel Participations Installation pour le traitement de recipients par plasma micro-ondes, comprenant un generateur a etat solide
US9793097B2 (en) 2015-07-27 2017-10-17 Lam Research Corporation Time varying segmented pressure control
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) * 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
EP3136419B1 (en) * 2015-08-31 2018-04-18 Total S.A. Plasma generating apparatus and method of manufacturing patterned devices using spatially resolved plasma processing
US9978606B2 (en) * 2015-10-02 2018-05-22 Applied Materials, Inc. Methods for atomic level resolution and plasma processing control
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11004660B2 (en) 2018-11-30 2021-05-11 Eagle Harbor Technologies, Inc. Variable output impedance RF generator
US11430635B2 (en) 2018-07-27 2022-08-30 Eagle Harbor Technologies, Inc. Precise plasma control system
US9865484B1 (en) * 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US9773643B1 (en) * 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10283330B2 (en) * 2016-07-25 2019-05-07 Lam Research Corporation Systems and methods for achieving a pre-determined factor associated with an edge region within a plasma chamber by synchronizing main and edge RF generators
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US11694911B2 (en) * 2016-12-20 2023-07-04 Lam Research Corporation Systems and methods for metastable activated radical selective strip and etch using dual plenum showerhead
US10262910B2 (en) * 2016-12-23 2019-04-16 Lam Research Corporation Method of feature exaction from time-series of spectra to control endpoint of process
US10242845B2 (en) * 2017-01-17 2019-03-26 Lam Research Corporation Near-substrate supplemental plasma density generation with low bias voltage within inductively coupled plasma processing chamber
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
CN108666197B (zh) * 2017-03-31 2020-02-14 北京北方华创微电子装备有限公司 一种脉冲功率源和半导体设备
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US20180358206A1 (en) * 2017-06-09 2018-12-13 Mattson Technology, Inc. Plasma Processing Apparatus
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10020183B1 (en) * 2017-06-29 2018-07-10 Lam Research Corporation Edge roughness reduction
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10395894B2 (en) * 2017-08-31 2019-08-27 Lam Research Corporation Systems and methods for achieving peak ion energy enhancement with a low angular spread
US10510575B2 (en) * 2017-09-20 2019-12-17 Applied Materials, Inc. Substrate support with multiple embedded electrodes
US10777386B2 (en) * 2017-10-17 2020-09-15 Lam Research Corporation Methods for controlling plasma glow discharge in a plasma chamber
KR102453450B1 (ko) * 2017-10-23 2022-10-13 삼성전자주식회사 플라즈마 처리 장치, 반도체 소자의 제조설비 및 그의 제조방법
JP7002921B2 (ja) * 2017-11-10 2022-01-20 東京エレクトロン株式会社 基板処理方法及び基板処理装置
KR101886755B1 (ko) * 2017-11-17 2018-08-09 한국원자력연구원 다중 펄스 플라즈마를 이용한 음이온 공급의 연속화 시스템 및 방법
CN107979910B (zh) * 2017-11-29 2020-06-05 中国人民解放军陆军工程大学 一种高真空环境下介质材料表面电位主动控制方法
CN111434039A (zh) * 2017-12-07 2020-07-17 朗姆研究公司 用于半导体rf等离子体处理的脉冲内的rf脉冲
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
CN111527583B (zh) * 2017-12-27 2023-10-20 玛特森技术公司 等离子体处理设备和方法
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
WO2019143474A1 (en) * 2018-01-18 2019-07-25 Applied Materials, Inc. Etching apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10555412B2 (en) 2018-05-10 2020-02-04 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator with a current-return output stage
JP7126381B2 (ja) * 2018-05-21 2022-08-26 東京エレクトロン株式会社 成膜装置および成膜方法
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11222767B2 (en) 2018-07-27 2022-01-11 Eagle Harbor Technologies, Inc. Nanosecond pulser bias compensation
JP7418401B2 (ja) * 2018-07-27 2024-01-19 アプライド マテリアルズ インコーポレイテッド 改良されたイオンブロッカを備えた遠隔容量結合プラズマ源
US11532457B2 (en) 2018-07-27 2022-12-20 Eagle Harbor Technologies, Inc. Precise plasma control system
KR20200015264A (ko) 2018-08-03 2020-02-12 삼성전자주식회사 웨이퍼 접합 방법 및 웨이퍼 접합 시스템
JP7038901B2 (ja) 2018-08-10 2022-03-18 イーグル ハーバー テクノロジーズ,インク. Rfプラズマリアクタ用プラズマシース制御
US20200058469A1 (en) * 2018-08-14 2020-02-20 Tokyo Electron Limited Systems and methods of control for plasma processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) * 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
CN113169026B (zh) 2019-01-22 2024-04-26 应用材料公司 用于控制脉冲电压波形的反馈回路
US11508554B2 (en) 2019-01-24 2022-11-22 Applied Materials, Inc. High voltage filter assembly
WO2020243342A1 (en) 2019-05-29 2020-12-03 Lam Research Corporation High selectivity, low stress, and low hydrogen diamond-like carbon hardmasks by high power pulsed low frequency rf
US11239056B2 (en) 2019-07-29 2022-02-01 Advanced Energy Industries, Inc. Multiplexed power generator output with channel offsets for pulsed driving of multiple loads
US11043387B2 (en) * 2019-10-30 2021-06-22 Applied Materials, Inc. Methods and apparatus for processing a substrate
TWI778449B (zh) 2019-11-15 2022-09-21 美商鷹港科技股份有限公司 高電壓脈衝電路
WO2021108294A2 (en) 2019-11-27 2021-06-03 Applied Materials, Inc. Processing chamber with multiple plasma units
WO2021134000A1 (en) * 2019-12-24 2021-07-01 Eagle Harbor Technologies, Inc. Nanosecond pulser rf isolation for plasma systems
WO2021222726A1 (en) * 2020-05-01 2021-11-04 Mattson Technology, Inc. Methods and apparatus for pulsed inductively coupled plasma for surface treatment processing
CN113838730B (zh) * 2020-06-08 2024-05-14 中微半导体设备(上海)股份有限公司 气体遮挡环、等离子体处理装置及调控聚合物分布的方法
US11189462B1 (en) 2020-07-21 2021-11-30 Tokyo Electron Limited Ion stratification using bias pulses of short duration
US11848176B2 (en) 2020-07-31 2023-12-19 Applied Materials, Inc. Plasma processing using pulsed-voltage and radio-frequency power
US11901157B2 (en) 2020-11-16 2024-02-13 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11798790B2 (en) 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11495470B1 (en) 2021-04-16 2022-11-08 Applied Materials, Inc. Method of enhancing etching selectivity using a pulsed plasma
TW202309969A (zh) * 2021-05-06 2023-03-01 日商東京威力科創股份有限公司 電漿處理裝置及終點檢測方法
US11948780B2 (en) 2021-05-12 2024-04-02 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11791138B2 (en) 2021-05-12 2023-10-17 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11967483B2 (en) 2021-06-02 2024-04-23 Applied Materials, Inc. Plasma excitation with ion energy control
US11984306B2 (en) 2021-06-09 2024-05-14 Applied Materials, Inc. Plasma chamber and chamber component cleaning methods
US11810760B2 (en) 2021-06-16 2023-11-07 Applied Materials, Inc. Apparatus and method of ion current compensation
US11569066B2 (en) 2021-06-23 2023-01-31 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US11776788B2 (en) 2021-06-28 2023-10-03 Applied Materials, Inc. Pulsed voltage boost for substrate processing
US11476090B1 (en) 2021-08-24 2022-10-18 Applied Materials, Inc. Voltage pulse time-domain multiplexing
JPWO2023042857A1 (ja) * 2021-09-15 2023-03-23
KR20230092176A (ko) 2021-12-17 2023-06-26 삼성전자주식회사 플라즈마 공정 챔버의 화학종을 진단하는 진단 장치, 그것을 포함하는 화학종 진단 시스템 및 그것의 동작 방법
US11972924B2 (en) 2022-06-08 2024-04-30 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
WO2024023877A1 (ja) * 2022-07-25 2024-02-01 株式会社日立ハイテク プラズマ処理方法

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0845858A (ja) * 1994-07-27 1996-02-16 Sony Corp プラズマ処理装置
JPH09289193A (ja) * 1996-04-23 1997-11-04 Matsushita Electric Ind Co Ltd プラズマ発生装置及びその方法、並びにプラズマ処理装置及びその方法
JPH11219938A (ja) * 1998-02-02 1999-08-10 Matsushita Electron Corp プラズマエッチング方法
JP2004511096A (ja) * 2000-10-04 2004-04-08 ラム リサーチ コーポレーション プラズマ閉じ込めのためのウエハ領域圧力制御
JP2010512031A (ja) * 2006-12-05 2010-04-15 アプライド マテリアルズ インコーポレイテッド チャンバ中央のガス分配プレート、同調型プラズマ流制御グリッド及び電極
JP2010171320A (ja) * 2009-01-26 2010-08-05 Tokyo Electron Ltd プラズマエッチング方法、プラズマエッチング装置および記憶媒体
JP2012507143A (ja) * 2008-10-23 2012-03-22 ラム リサーチ コーポレーション フォトレジストを除去するための方法および装置

Family Cites Families (53)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4263088A (en) * 1979-06-25 1981-04-21 Motorola, Inc. Method for process control of a plasma reaction
US5367139A (en) * 1989-10-23 1994-11-22 International Business Machines Corporation Methods and apparatus for contamination control in plasma processing
JPH0689880A (ja) * 1992-09-08 1994-03-29 Tokyo Electron Ltd エッチング装置
JP2764524B2 (ja) * 1993-09-28 1998-06-11 名古屋大学長 ラジカルの制御装置
JP3360461B2 (ja) * 1995-01-31 2002-12-24 ソニー株式会社 メタル成膜工程の前処理方法
US6794301B2 (en) * 1995-10-13 2004-09-21 Mattson Technology, Inc. Pulsed plasma processing of semiconductor substrates
JP3386651B2 (ja) * 1996-04-03 2003-03-17 株式会社東芝 半導体装置の製造方法および半導体製造装置
EP0821395A3 (en) * 1996-07-19 1998-03-25 Tokyo Electron Limited Plasma processing apparatus
JPH1079372A (ja) * 1996-09-03 1998-03-24 Matsushita Electric Ind Co Ltd プラズマ処理方法及びプラズマ処理装置
US6214162B1 (en) * 1996-09-27 2001-04-10 Tokyo Electron Limited Plasma processing apparatus
JP3317209B2 (ja) * 1997-08-12 2002-08-26 東京エレクトロンエイ・ティー株式会社 プラズマ処理装置及びプラズマ処理方法
JP4212210B2 (ja) * 1999-12-07 2009-01-21 株式会社小松製作所 表面処理装置
US6350317B1 (en) * 1999-12-30 2002-02-26 Lam Research Corporation Linear drive system for use in a plasma processing system
US6261408B1 (en) * 2000-02-16 2001-07-17 Applied Materials, Inc. Method and apparatus for semiconductor processing chamber pressure control
JP2001332534A (ja) * 2000-05-25 2001-11-30 Matsushita Electric Ind Co Ltd プラズマ処理方法及びプラズマ処理装置
JP4371543B2 (ja) * 2000-06-29 2009-11-25 日本電気株式会社 リモートプラズマcvd装置及び膜形成方法
US7037813B2 (en) * 2000-08-11 2006-05-02 Applied Materials, Inc. Plasma immersion ion implantation process using a capacitively coupled plasma source having low dissociation and low minimum plasma voltage
US20030013314A1 (en) * 2001-07-06 2003-01-16 Chentsau Ying Method of reducing particulates in a plasma etch chamber during a metal etch process
JP2005514762A (ja) * 2001-12-20 2005-05-19 東京エレクトロン株式会社 加工物をプラズマ処理するための磁気フィルタを備える方法および装置
CN100360117C (zh) * 2002-06-21 2008-01-09 转化医药公司 具有提高的溶出度的药物组合物
US20040025791A1 (en) * 2002-08-09 2004-02-12 Applied Materials, Inc. Etch chamber with dual frequency biasing sources and a single frequency plasma generating source
JP2004153240A (ja) * 2002-10-09 2004-05-27 Advanced Lcd Technologies Development Center Co Ltd プラズマ処理装置
US7976673B2 (en) * 2003-05-06 2011-07-12 Lam Research Corporation RF pulsing of a narrow gap capacitively coupled reactor
US7144521B2 (en) * 2003-08-22 2006-12-05 Lam Research Corporation High aspect ratio etch using modulation of RF powers of various frequencies
US7838430B2 (en) * 2003-10-28 2010-11-23 Applied Materials, Inc. Plasma control using dual cathode frequency mixing
US20050103265A1 (en) * 2003-11-19 2005-05-19 Applied Materials, Inc., A Delaware Corporation Gas distribution showerhead featuring exhaust apertures
US7695590B2 (en) * 2004-03-26 2010-04-13 Applied Materials, Inc. Chemical vapor deposition plasma reactor having plural ion shower grids
US7740737B2 (en) * 2004-06-21 2010-06-22 Tokyo Electron Limited Plasma processing apparatus and method
US7381291B2 (en) * 2004-07-29 2008-06-03 Asm Japan K.K. Dual-chamber plasma processing apparatus
US7364623B2 (en) * 2005-01-27 2008-04-29 Lam Research Corporation Confinement ring drive
US7829243B2 (en) * 2005-01-27 2010-11-09 Applied Materials, Inc. Method for plasma etching a chromium layer suitable for photomask fabrication
JP2007088199A (ja) * 2005-09-22 2007-04-05 Canon Inc 処理装置
US20070264427A1 (en) * 2005-12-21 2007-11-15 Asm Japan K.K. Thin film formation by atomic layer growth and chemical vapor deposition
US7645357B2 (en) * 2006-04-24 2010-01-12 Applied Materials, Inc. Plasma reactor apparatus with a VHF capacitively coupled plasma source of variable frequency
US8192576B2 (en) * 2006-09-20 2012-06-05 Lam Research Corporation Methods of and apparatus for measuring and controlling wafer potential in pulsed RF bias processing
US8043430B2 (en) * 2006-12-20 2011-10-25 Lam Research Corporation Methods and apparatuses for controlling gas flow conductance in a capacitively-coupled plasma processing chamber
US8357435B2 (en) * 2008-05-09 2013-01-22 Applied Materials, Inc. Flowable dielectric equipment and processes
US20090277587A1 (en) * 2008-05-09 2009-11-12 Applied Materials, Inc. Flowable dielectric equipment and processes
US9493875B2 (en) * 2008-09-30 2016-11-15 Eugene Technology Co., Ltd. Shower head unit and chemical vapor deposition apparatus
US8475673B2 (en) * 2009-04-24 2013-07-02 Lam Research Company Method and apparatus for high aspect ratio dielectric etch
US9287086B2 (en) * 2010-04-26 2016-03-15 Advanced Energy Industries, Inc. System, method and apparatus for controlling ion energy distribution
US8749053B2 (en) * 2009-06-23 2014-06-10 Intevac, Inc. Plasma grid implant system for use in solar cell fabrications
US8404598B2 (en) * 2009-08-07 2013-03-26 Applied Materials, Inc. Synchronized radio frequency pulsing for plasma etching
US20110073257A1 (en) * 2009-09-28 2011-03-31 Rajinder Dhindsa Unitized confinement ring arrangements and methods thereof
US20110177694A1 (en) * 2010-01-15 2011-07-21 Tokyo Electron Limited Switchable Neutral Beam Source
US9190289B2 (en) * 2010-02-26 2015-11-17 Lam Research Corporation System, method and apparatus for plasma etch having independent control of ion generation and dissociation of process gas
US9184028B2 (en) * 2010-08-04 2015-11-10 Lam Research Corporation Dual plasma volume processing apparatus for neutral/ion flux control
US20130059448A1 (en) * 2011-09-07 2013-03-07 Lam Research Corporation Pulsed Plasma Chamber in Dual Chamber Configuration
US9793126B2 (en) * 2010-08-04 2017-10-17 Lam Research Corporation Ion to neutral control for wafer processing with dual plasma source reactor
US20130168352A1 (en) * 2011-12-28 2013-07-04 Andreas Fischer Methods and apparatuses for controlling plasma properties by controlling conductance between sub-chambers of a plasma processing chamber
US9881772B2 (en) * 2012-03-28 2018-01-30 Lam Research Corporation Multi-radiofrequency impedance control for plasma uniformity tuning
US9255326B2 (en) * 2013-03-12 2016-02-09 Novellus Systems, Inc. Systems and methods for remote plasma atomic layer deposition
US9425058B2 (en) * 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0845858A (ja) * 1994-07-27 1996-02-16 Sony Corp プラズマ処理装置
JPH09289193A (ja) * 1996-04-23 1997-11-04 Matsushita Electric Ind Co Ltd プラズマ発生装置及びその方法、並びにプラズマ処理装置及びその方法
JPH11219938A (ja) * 1998-02-02 1999-08-10 Matsushita Electron Corp プラズマエッチング方法
JP2004511096A (ja) * 2000-10-04 2004-04-08 ラム リサーチ コーポレーション プラズマ閉じ込めのためのウエハ領域圧力制御
JP2010512031A (ja) * 2006-12-05 2010-04-15 アプライド マテリアルズ インコーポレイテッド チャンバ中央のガス分配プレート、同調型プラズマ流制御グリッド及び電極
JP2012507143A (ja) * 2008-10-23 2012-03-22 ラム リサーチ コーポレーション フォトレジストを除去するための方法および装置
JP2010171320A (ja) * 2009-01-26 2010-08-05 Tokyo Electron Ltd プラズマエッチング方法、プラズマエッチング装置および記憶媒体

Also Published As

Publication number Publication date
TWI562232B (en) 2016-12-11
JP6382719B2 (ja) 2018-08-29
SG10201602732TA (en) 2016-05-30
WO2013036371A3 (en) 2014-05-15
JP2014531753A (ja) 2014-11-27
CN106128931A (zh) 2016-11-16
CN103890916B (zh) 2016-09-07
SG11201400364RA (en) 2014-04-28
US20160148786A1 (en) 2016-05-26
KR20140068055A (ko) 2014-06-05
JP6671446B2 (ja) 2020-03-25
US20130059448A1 (en) 2013-03-07
TW201330098A (zh) 2013-07-16
KR101983866B1 (ko) 2019-09-03
CN106128931B (zh) 2018-04-27
WO2013036371A2 (en) 2013-03-14
CN103890916A (zh) 2014-06-25
TW201701355A (zh) 2017-01-01
US11670486B2 (en) 2023-06-06
US20200227237A1 (en) 2020-07-16
US10553399B2 (en) 2020-02-04
TWI608544B (zh) 2017-12-11
JP2018037668A (ja) 2018-03-08
US20230317412A1 (en) 2023-10-05
JP6441434B2 (ja) 2018-12-19

Similar Documents

Publication Publication Date Title
JP6441434B2 (ja) デュアルチャンバ構成のパルスプラズマチャンバ
JP2014531753A5 (ja)
KR102098698B1 (ko) 플라즈마 처리 장치
JP6719602B2 (ja) 材料改質とrfパルスを用いた選択的エッチング
TWI687549B (zh) 用於高深寬比溝槽的均等鎢蝕刻
TWI552222B (zh) 用於介電蝕刻的負離子控制
KR102038649B1 (ko) 전원 시스템, 플라즈마 에칭 장치 및 플라즈마 에칭 방법
JP5221403B2 (ja) プラズマエッチング方法、プラズマエッチング装置および記憶媒体
US9230824B2 (en) Method of manufacturing semiconductor device
US9779961B2 (en) Etching method
KR102311575B1 (ko) 피처리체를 처리하는 방법
JP2015529972A (ja) 改善された制御のためにdc支援rf電力を使用する半導体処理
JP2008544499A (ja) 電極面積比を調整可能な閉じ込めプラズマ
KR20150024277A (ko) 반도체 장치의 제조 방법
TW200833178A (en) Plasma confinement baffle and flow equalizer for enhanced magnetic control of plasma radial distribution
US10192750B2 (en) Plasma processing method
US9418863B2 (en) Method for etching etching target layer
JP2024035702A (ja) プラズマ処理装置及びプラズマ処理方法

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20181220

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20181220

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20200127

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20200204

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20200303

R150 Certificate of patent or registration of utility model

Ref document number: 6671446

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250