TWI585916B - 半導體結構及半導體裝置製造方法 - Google Patents

半導體結構及半導體裝置製造方法 Download PDF

Info

Publication number
TWI585916B
TWI585916B TW101100022A TW101100022A TWI585916B TW I585916 B TWI585916 B TW I585916B TW 101100022 A TW101100022 A TW 101100022A TW 101100022 A TW101100022 A TW 101100022A TW I585916 B TWI585916 B TW I585916B
Authority
TW
Taiwan
Prior art keywords
layer
dielectric
metal
opening
substrate
Prior art date
Application number
TW101100022A
Other languages
English (en)
Other versions
TW201304094A (zh
Inventor
蔡雙吉
楊敦年
林政賢
劉人誠
王文德
林月秋
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW201304094A publication Critical patent/TW201304094A/zh
Application granted granted Critical
Publication of TWI585916B publication Critical patent/TWI585916B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L24/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/481Internal lead connections, e.g. via connections, feedthrough structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14601Structural or functional details thereof
    • H01L27/1462Coatings
    • H01L27/14623Optical shielding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14601Structural or functional details thereof
    • H01L27/14636Interconnect structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14601Structural or functional details thereof
    • H01L27/1464Back illuminated imager structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/03Manufacturing methods
    • H01L2224/034Manufacturing methods by blanket deposition of the material of the bonding area
    • H01L2224/03444Manufacturing methods by blanket deposition of the material of the bonding area in gaseous form
    • H01L2224/0345Physical vapour deposition [PVD], e.g. evaporation, or sputtering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/03Manufacturing methods
    • H01L2224/036Manufacturing methods by patterning a pre-deposited material
    • H01L2224/03618Manufacturing methods by patterning a pre-deposited material with selective exposure, development and removal of a photosensitive material, e.g. of a photosensitive conductive resin
    • H01L2224/0362Photolithography
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/0401Bonding areas specifically adapted for bump connectors, e.g. under bump metallisation [UBM]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/04042Bonding areas specifically adapted for wire connectors, e.g. wirebond pads
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/0502Disposition
    • H01L2224/05022Disposition the internal layer being at least partially embedded in the surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05075Plural internal layers
    • H01L2224/0508Plural internal layers being stacked
    • H01L2224/05085Plural internal layers being stacked with additional elements, e.g. vias arrays, interposed between the stacked layers
    • H01L2224/05089Disposition of the additional element
    • H01L2224/05093Disposition of the additional element of a plurality of vias
    • H01L2224/05096Uniform arrangement, i.e. array
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05541Structure
    • H01L2224/05548Bonding area integrally formed with a redistribution layer on the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0555Shape
    • H01L2224/05552Shape in top view
    • H01L2224/05555Shape in top view being circular or elliptic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0556Disposition
    • H01L2224/05567Disposition the external layer being at least partially embedded in the surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0556Disposition
    • H01L2224/05568Disposition the whole external layer protruding from the surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0556Disposition
    • H01L2224/05571Disposition the external layer being disposed in a recess of the surface
    • H01L2224/05572Disposition the external layer being disposed in a recess of the surface the external layer extending out of an opening
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05617Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C
    • H01L2224/05624Aluminium [Al] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05638Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05647Copper [Cu] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/44Structure, shape, material or disposition of the wire connectors prior to the connecting process
    • H01L2224/45Structure, shape, material or disposition of the wire connectors prior to the connecting process of an individual wire connector
    • H01L2224/45001Core members of the connector
    • H01L2224/45099Material
    • H01L2224/451Material with a principal constituent of the material being a metal or a metalloid, e.g. boron (B), silicon (Si), germanium (Ge), arsenic (As), antimony (Sb), tellurium (Te) and polonium (Po), and alloys thereof
    • H01L2224/45138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron (B), silicon (Si), germanium (Ge), arsenic (As), antimony (Sb), tellurium (Te) and polonium (Po), and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/45144Gold (Au) as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/47Structure, shape, material or disposition of the wire connectors after the connecting process
    • H01L2224/48Structure, shape, material or disposition of the wire connectors after the connecting process of an individual wire connector
    • H01L2224/485Material
    • H01L2224/48505Material at the bonding interface
    • H01L2224/48599Principal constituent of the connecting portion of the wire connector being Gold (Au)
    • H01L2224/486Principal constituent of the connecting portion of the wire connector being Gold (Au) with a principal constituent of the bonding area being a metal or a metalloid, e.g. boron (B), silicon (Si), germanium (Ge), arsenic (As), antimony (Sb), tellurium (Te) and polonium (Po), and alloys thereof
    • H01L2224/48617Principal constituent of the connecting portion of the wire connector being Gold (Au) with a principal constituent of the bonding area being a metal or a metalloid, e.g. boron (B), silicon (Si), germanium (Ge), arsenic (As), antimony (Sb), tellurium (Te) and polonium (Po), and alloys thereof the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950 °C
    • H01L2224/48624Aluminium (Al) as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/47Structure, shape, material or disposition of the wire connectors after the connecting process
    • H01L2224/48Structure, shape, material or disposition of the wire connectors after the connecting process of an individual wire connector
    • H01L2224/485Material
    • H01L2224/48505Material at the bonding interface
    • H01L2224/48599Principal constituent of the connecting portion of the wire connector being Gold (Au)
    • H01L2224/486Principal constituent of the connecting portion of the wire connector being Gold (Au) with a principal constituent of the bonding area being a metal or a metalloid, e.g. boron (B), silicon (Si), germanium (Ge), arsenic (As), antimony (Sb), tellurium (Te) and polonium (Po), and alloys thereof
    • H01L2224/48638Principal constituent of the connecting portion of the wire connector being Gold (Au) with a principal constituent of the bonding area being a metal or a metalloid, e.g. boron (B), silicon (Si), germanium (Ge), arsenic (As), antimony (Sb), tellurium (Te) and polonium (Po), and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/48647Copper (Cu) as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/013Alloys
    • H01L2924/0132Binary Alloys
    • H01L2924/01322Eutectic Alloys, i.e. obtained by a liquid transforming into two solid phases
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/12Passive devices, e.g. 2 terminal devices
    • H01L2924/1204Optical Diode
    • H01L2924/12042LASER
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/12Passive devices, e.g. 2 terminal devices
    • H01L2924/1204Optical Diode
    • H01L2924/12043Photo diode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/156Material
    • H01L2924/15786Material with a principal constituent of the material being a non metallic, non metalloid inorganic material
    • H01L2924/15788Glasses, e.g. amorphous oxides, nitrides or fluorides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/30Technical effects
    • H01L2924/301Electrical effects
    • H01L2924/3025Electromagnetic shielding

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Electromagnetism (AREA)
  • Manufacturing & Machinery (AREA)
  • Solid State Image Pick-Up Elements (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Description

半導體結構及半導體裝置製造方法
本發明係有關於一種半導體裝置,特別是有關於一種具有接合墊的半導體裝置及其製造方法。
半導體積體電路(integrated circuit,IC)工業已經歷快速的成長。在IC材料與設計的技術進展已造就各的IC世代,每一世代的電路都比前世代來得更小更複雜。然而,這些進展卻增加IC製造及加工的複雜度,而因應這些進展,IC製造及加工需要類似的演進。在IC進展課題中,功能密度(即,單位晶片面積的內連裝置數量)普遍增加,而幾何尺寸(即,製程所能形成的最小部件)則下降。
對於墊片的不同應用,諸如針測及/或打線接合(以下稱之為接合墊),通常其需求不同於IC的其他特徵元件(feature)。舉例來說,接合墊必須具有適當的大小及強度來承受上述針測或打線接合動作的物理性接觸。同時特徵也需要相對縮小(包含尺寸與厚度)。舉例來說,在互補式金氧半(complementary metal-oxide semiconductor,CMOS)影像感測器中,通常需要一或多層相對薄的金屬層,例如由鋁銅(AlCu)所構成的金屬層。這些薄金屬層問題在於形成於這些膜層內的接合墊呈現剝離或其他缺陷。因此,有必要解決這些特徵不同的需求。
在本發明一實施例中,一種半導體結構,包括:一裝置基底,具有一前側及一背側;一內連結構,設置於裝置基底的前側上;以及一接合墊,連接至內連結構,其中接合墊包括:一凹口區,位於一介電材料層內;一介電平台層,由介電材料層所構成,且與凹口區相鄰;以及一金屬層,設置於凹口區內及介電平台層上。
在本發明另一實施例中,一種半導體結構,包括:一半導體基底,具有一感光區及一接合區,且具有一前側及一背側;一光感測器,形成於感光區內的半導體基底的背側上;一內連結構,設置於半導體基底的前側上,且將光感測器耦接至接合區內的內連結構的一金屬特徵元件;一承載基底,接合至具有內連結構的半導體基底的前側上,內連結構夾設於半導體基底與承載基底之間;一開口,位於半導體基底的背側上,其中開口形成於接合區內,且延伸穿過一介電材料層至內連結構的金屬特徵元件且定義出位於金屬特徵元件上方且由介電材料層所構成的一介電平台層;以及一金屬層,填入位於接合區的半導體基底的背側的局部開口內,其中金屬層與內連結構的金屬特徵元件直接接觸且延伸至介電平台層。
在本發明又一實施例中,一種半導體裝置之製造方法,包括:在一裝置基底的一前側上形成一光感測器;在裝置基底的前側上形成一內連結構,其耦接至光感測器;將一承載基底接合至裝置基底的背側上;蝕刻位於裝置基底的背側上的一介電材料層,以形成穿過介電材料層的一開口而露出內連結構的一金屬特徵元件,且定義出由介電材料層所構成且被開口所圍繞的一介電平台層;以及在開口內及介電平台層上形成一金屬層以作為一接合墊,其中接合墊與位於開口內的金屬特徵元件直接接觸。
可瞭解的是以下的揭露內容提供許多不同的實施例或範例,用以實施各個實施例的不同特徵。而以下所揭露的內容是敘述各個構件及其排列方式的特定範例,以求簡化本發明的說明。當然,這些特定的範例並非用以限定本發明。舉例來說,若是本說明書以下的揭露內容敘述了將一第一特徵元件形成於一第二特徵元件之上或上方,即表示其包含了所形成的上述第一特徵元件與上述第二特徵元件是直接接觸的實施例,亦包含了尚可將額外的特徵元件形成於第一特徵元件與第二特徵元件之間而使第一特徵元件與第二特徵元件並未直接接觸的實施例。另外,本發明的說明中不同範例可能使用重複的參考符號及/或用字。這些重複符號或用字係為了簡化與清晰的目的,並非用以限定各個實施例及/或所述外觀結構之間的關係。可以理解的是儘管此處未明確說明,然而熟習此技藝之人士能夠設計出各種等同構思以體現本發明之原理。
可自本發明一或一個以上實施例中獲益的裝置範例為具有影像感測器的半導體裝置。上述裝置,例如為背面受光型(back-side illuminated,BSI)影像感測裝置。以下的揭露內容將延續這些範例作為本發明各個實施例的說明。然而,可瞭解的是除了特地請求主張外,本發明並未限定於特定裝置類型。
請參照第1圖,其繪示出具有一或多個背面受光型(BSI)影像感測器之半導體結構製造方法100。製造方法100始於步驟102,提供一裝置基底,其具有一前側及一背側。製造方法100繼續進行至步驟104,在裝置基底內形成一或多個光感測器。同樣地,在步驟104中,在裝置基底上形成一內連結構及一鈍化保護(passivation)層。內連結構包括一第一金屬層且可包括具有第一金屬層的複數個金屬層,其與裝置基底相鄰。製造方法100繼續進行至步驟106,提供一承載基底,且接合至裝置基底的前側。製造方法100繼續進行至步驟108,在裝置基底的背側上形成一第一緩衝層,其可為透明的。製造方法100繼續進行至步驟110,在一接合區內形成一開口(或一溝槽),開口延伸穿過第一緩衝層,使開口到達並露出內連結構的一金屬層(例如,內連結構的第一金屬層)。在接合區的開口內形成一接合墊,接合墊填入開口且耦接至內連結構的金屬層。製造方法100繼續進行至步驟112,在第一緩衝層及接合墊上形成一第二緩衝層。接著在第二緩衝層上的一遮蔽區內形成一遮蔽結構。製造方法100繼續進行至步驟114,在第二緩衝層上、接合區的接合墊上以及遮蔽區的遮蔽結構上形成一鈍化保護層。製造方法100繼續進行至步驟116,以一蝕刻製程去除位於接合區的接合墊上的鈍化保護層及第二緩衝層。特別的是設計及配置接合區的開口,使其定義一介電平台層夾設於開口的各個部份之間。接合墊包括一金屬層,其設置於開口內且位於介電平台層上。可在進行製造方法100之前、期間及之後進行額外的步驟,且以上所述的某些步驟可在其他實施例中被取代或消除。以下所述半導體裝置的不同實施例可根據第1圖的製造方法100來進行製做。
第2至7圖係繪示出第1圖中方法100之半導體結構(其為背面受光型(BSI)影像感測裝置200)於不同製造階段的剖面示意圖。影像感測裝置200包括畫素(感測器),用以感測及記錄朝向影像感測裝置200背側的光線強度。影像感測裝置200可包括互補式金屬氧化半導體(complementary metal oxide semiconductor,CMOS)影像感測裝置(COMS image sensor,CIS)、電荷耦合裝置(charge-coupled device,CCD)、主動式畫素感測器(active-pixel sensor,APS)或被動式畫素感測器(passive-pixel sensor)。影像感測裝置200更包括額外的電路及輸入/輸出鄰近於感測器,用以提供感測器一操作環境,且支援感測器的外部通信。可以理解的是第2至7圖已經過簡化,使其更能理解本說明的發明概念,且並未依照尺寸比例繪示。
請參照第2圖,BSI像感測裝置200包括一裝置基底210。裝置基底210具有一前側212及一背側214。在本實施例中,裝置基底210為摻雜p型摻雜物(例如,硼)的矽基底,例如一p型基底。另外,裝置基底210可為其他適當的半導體材料。舉例來說,裝置基底210為摻雜n型摻雜物(例如,磷或砷)的矽基底,例如一n型基底。裝置基底210可包括其他元素材料,例如鍺或鑽石。裝置基底210也可包括一化合物半導體及/或合金半導體。再者,裝置基底210可包括一磊晶層(epi layer),其可具有應變以強化其效能,且可包括一絕緣層覆矽(silicon on insulator,SOI)結構。
裝置基底210包括一接合區216、一遮蔽區217以及一感光區218。第2圖中的虛線表示區域之間大概的邊界。感光區218為裝置基底210中將形成感光裝置的一區域。舉例來說,感光區218包括感測器220。感測器220係用以感光,例如一入射光(之後稱之為光),其投射至裝置基底210的背側214,因而稱之為背面受光型(BSI)感測器。在本實施例中,感測器220包括光電二極體。在其他實施例中,感測器220可包括:一針扎層(pinned layer)光電二極體、光閘極(photogate)、互補式金屬氧化半導體(CMOS)影像感測裝置、電荷耦合裝置(CCD)、主動式畫素感測器(APS)或被動式畫素感測器或其他種類的裝置形成於裝置基底210內。感測器220可包括習知及/或未來發展出的影像感測裝置。感測器220可包括重置電晶體(reset transistor)、源極隨耦(source follower)電晶體及轉移電晶體(transfer transistor)。再者,感測器220可改變而具有不同的接面深度、厚度等等。為了簡化圖式,第2圖僅繪示感測器220,然而可以瞭解的是裝置基底32內可具有任何數量的感測器。當超過一個感測器時,感光區包括隔離結構,其提供相鄰感測器之間電性及光學上的隔離。
遮蔽區217為在後續製程中將形成BSI影像感測裝置200的一或多個遮蔽結構的區域。接合區216為在後續製程中將形成BSI影像感測裝置200的一或多個接合墊的區域,使其電性連接於BSI影像感測裝置200與外部裝置之間。可以理解的是這些區域216、217及218垂直延伸於裝置基底210的上方及下方。
請參照第2圖,一淺溝槽隔離結構(shallow trench isolation,STI)層222(或STI特徵元件)形成於BSI影像感測裝置200的前側212。STI層222可包括適當的介電材料,例如氧化矽。可透過一適當技術來形成STI層222,舉例來說,可採用一套的製程來製做STI層222,其包括以習知微影製程來圖案化半導體層、以電漿蝕刻製程蝕刻半導體層以形成各個溝槽以及在溝槽內填入介電材料,例如由化學氣相沉積(chemical vapor deposition,CVD)所形成的氧化矽。另外,也可透過CVD、高密度電漿化學氣相沉積(high density plasma chemical vapor deposition,HDPCVD)、電漿輔助化學氣相沉積(plasma enhanced chemical vapor deposition,PECVD)、其組合或其他適當的製程來進行溝槽充填。
一內連結構230形成於裝置基底210的前側212上。內連結構230包括複數個導電層,其埋設於介電材料層。導電層,用以提供影像感測裝置200中各個摻雜特徵元件、電路及輸入/輸出之間的內連接。導電層包括第一層、第二層、以此類推的金屬線。導電層更包括接觸窗(contact),以將摻雜區耦接至第一層金屬線。導電層更包括介層窗(via),以耦接相鄰的金屬層。在本實施例中,內連結構230包括一內層介電(interlayer dielectric,ILD)層232及複數個金屬層間介電(intermetal dielectric,IMD)層234、236、238及240。內層介電(ILD)層232及複數個金屬層間介電(IMD)層234、236、238及240可包括適當的介電材料。舉例來說,在本實施例中,ILD層232及複數個IMD層234、236、238及240包括一低介電常數(low-k)材料,該材料的介電常數低於熱氧化矽。在其他實施例中,ILD層232及複數個IMD層234、236、238及240包括一介電材料。該介電材料可透過CVD、HDPCVD、PECVD、其組合或其他適當的製程而形成。
IMD層234、236、238及240中的每一層包括接觸窗、介層窗及各別的金屬層242、244、246及248。為了圖式說明目的,第2圖僅繪示出4層IMD層,但可以理解的是可以實施於任何層數(n層)的IMD層,且所繪示的IMD層僅為範例說明,金屬層與接觸窗/介層窗的實際位置及配置可依據設計需要而改變。
內連結構230可包括導電材料,例如鋁、鋁/矽/銅合金、鈦、氮化鈦、鎢、多晶矽、金屬矽化物或其組合,其可透過CVD、HDPCVD、PECVD、ALD、其組合或其他適當的製程而形成。形成內連線的其他製造技術可包括微影製程及蝕刻製程以圖案化導電材料而形成垂直連接(例如,介層窗/接觸窗)及水平連接(例如,金屬層)。另外,也可使用銅多層內連線以形成金屬圖案。銅內連結構可包括銅、銅合金、鈦、氮化鈦、鉭、氮化鉭、鎢、多晶矽、金屬矽化物或其組合。銅內連線可透過雙鑲嵌技術而形成,其包括介電層沉積、蝕刻、沉積及研磨,當中的沉積可包括濺鍍、電鍍、CVD或其他適當的製程。
請再參照第2圖,在本實施例中,一鈍化保護層250形成於內連結構230上,且與第n層金屬層248直接接觸。鈍化保護層250可包括任何適當的介電材料。在本實施例中,鈍化保護層250包括氧化矽、氮化矽、氮氧化矽或其組合。鈍化保護層250可透過適當的製程而形成,例如CVD。可透過化學機械研磨(chemical mechanical polishing,CMP)製程對鈍化保護層250進行平坦化而形成一平坦表面。
請參照第3圖,一承載基底260接合至裝置基底210,以進行裝置基底210的背側214加工。在本實施例中,承載基底260相似於裝置基底210且包括矽材料。另外,承載基底260可包括一玻璃基底或其他適當材料。承載基底260可透過分子力(一種直接接合或光熔融接合(optical fusion bonding)的習知技術)或其他熟習接合技術(例如,金屬擴散、共晶接合(eutectic bonding)或陽極接合(anodic bonding))而接合至裝置基底210。鈍化保護層250提供裝置基底210與承載基底260之間的電性隔離。承載基底260則提供形成於裝置基底210的前側212的各個特徵元件(例如,感測器220)的保護。承載基底260也提供加工裝置基底210的背側214時所需的機械強度及支撐。
在接合之後,裝置基底210及承載基底260可進行退火處理以強化接合強度。進行薄化製程以將裝置基底210的背側214薄化。薄化製程可包括機械研磨製程及化學薄化製程。在機械研磨製程期間,可先從裝置基底210去除大多數的基底材料。之後,化學薄化製程可於裝置基底210的背側214施加一蝕刻化學劑,以進一步薄化裝置基底210至一厚度262。在一範例中,裝置基底210的厚度262在3微米至6微米的範圍。可以理解的是本文所揭示的特定厚度僅作為範例說明,且也可實施於其他厚度,取決於影像感測裝置200的應用類型及設計需求。請再參照第3圖,可在裝置基底210的背側214形成一或多個材料層。在一範例中,一抗反射(antireflective coating,ARC)層263可形成於裝置基底210的背側214。
第4圖係繪示出根據本文一實施例之去除部分的裝置基底210來圖案化裝置基底,以形成切割道及接合區216。裝置基底210的圖案化包括進行一微影製程。在一範例中,微影製程包括光阻圖案化、蝕刻及光阻剝除。光阻圖案化更包括光阻塗佈、軟烤、光罩對準、曝光圖案、後曝烤(post-exposure baking)、光阻顯影及硬烤等製程步驟。也可以其他方法來實施或取代微影圖案化,例如無光罩微影技術(maskless photolithography)、電子束微影、離子束微影及分子模印(molecular imprint)。
在一實施例中,在抗反射層263上形成一圖案化光阻層。圖案化的光阻層包括各種開口,以定義切割道及接合區216。以圖案化光阻層作為蝕刻罩幕,對接合區216(及切割道區)的抗反射層263及裝置基底210進行蝕刻,以定義接合區216(及切割道)。另外,可使用一硬式罩幕層來圖案化裝置基底210及定義接合區216及切割道。
蝕刻製程可包括任何適當蝕刻技術,例如乾蝕刻。可記進行蝕刻製程,以露出STI層222。在一範例中,蝕刻製程中具有一蝕刻劑以進行選擇性蝕刻並使用STI層222作為蝕刻終止層。圖案化光阻層在蝕刻製程中保護局部的抗反射層263及下方的裝置基底210,以去除抗反射層263及裝置基底210材料。可以理解的是在去除該材料之後,透過濕蝕刻或電漿灰化處理剝除光阻罩幕。
請再參照第4圖,一緩衝層264形成於裝置基底210的背側214上以及STI層222上。緩衝層264可為透明的。緩衝層264可包括任何適當介電材料。在本實施例中,緩衝層264包括氧化矽且透過一製程而形成,例如CVD或其他適當技術。在其他實施例中,緩衝層264可具有一適當厚度。
請參照第5圖,一開口(或凹口區)270形成於接合區216的裝置基底210中。開口270延伸穿過緩衝層264、STI層222及ILD層232而到達內連結構230的一金屬特徵元件,例如接合區216的內連結構230的第一層金屬層中的一金屬特徵元件,使金屬特徵元件從背側214露出。另外,開口270可延伸穿過至少一部分的內連結構230,使開口270內露出一金屬層(例如,第二層金屬層、第三層金屬層、以此類推或頂層金屬層)。開口270可透過習知微影製程及蝕刻製程而形成。蝕刻製程可包括一適當技術,例如乾蝕刻、濕蝕刻或其組合。蝕刻製程可包括多重蝕刻步驟。舉例來說,蝕刻製程包括一第一蝕刻步驟,以有效蝕刻氧化矽,以及包括一第二蝕刻製程,以有效蝕刻矽材料。在另一範例中,第二蝕刻步驟使用蝕刻後的緩衝層264(或加上STI層222及ILD層232)作為蝕刻罩幕來蝕刻矽。另外,在第一蝕刻步驟期間,可使用一硬式罩幕來蝕刻緩衝層264。
特別的是開口270的設計包括一第一部270a及一第二部270b,使一介電平台層272形成且配置於第一部270a與第二部270b之間。開口270的第一部270a及第二部270b可根據不同的實施例而連接在一起或分設開來。在本範例中,開口270的第一部270a及第二部270b沿第一方向配置且沿垂直第一方向的一第二方向而彼此隔開。
第6a、6b及6c圖係繪示出不同實施例之開口270平面示意圖。為了簡化目的,第6a、6b及6c圖僅包括接合區216。請參照第6a圖,開口270包括一第一部(或第一溝槽)270a及一第二部(或第二溝槽)270b,對準於第一方向且沿垂直第一方向的一第二方向而彼此隔開。介電平台層272具有一寬度W。開口270的第一部270a及第二部270b定義出一第二尺寸Wp。第二尺寸Wp大於寬度W。在一範例中,寬度W大於10微米。在本實施例中,介電平台層272的頂層材料層為緩衝層264。再者,根據本實施例,金屬層242露出於開口270內。另外,開口270可延伸穿過至少一部分的內連結構230,使開口270內露出一金屬層(例如,第二層金屬層、第三層金屬層、以此類推或頂層金屬層)。可以理解的是開口270的深度的變化取決於設計及其他考量。
請參照第6b圖,在另一實施例中,開口270包括一第一部(或第一溝槽)270a及一第二部(或第二溝槽)270b,對準於第二方向且沿垂直第二方向的第一方向而彼此隔開。第6b圖中的開口270類似於第6a圖中的開口270,但具有不同的方位。
請參照第6c圖,在另一實施例中,開口270為連續得且圍繞介電平台層272。在一特定範例中,開口270包括一第一部及一第二部,對準於第一方向且沿垂直第一方向的一第二方向而彼此隔開。開口270更包括一第三部及一第四部,對準於第二方向且沿第一方向而彼此隔開。開口270的第一、第二、第三及第四部構成一連續開口而在其內定義出介電平台層272。如第6c圖所示,在本實施例中,介電平台層272具有一寬度W及一長度L。在一範例中,寬度W及長度L各大於10微米。開口270具有一開口寬度Wo,其小於介電平台層272的寬度W。再者,開口270的範圍包括寬度Wp及長度Lp,如第6c圖所示。寬度Wp大於寬度W,而長度Lp大於長度L。相似地,在其他範例的接合墊中,包括相似的尺寸。
請參照第7圖,一接合墊274形成於接合區216的裝置基底210上。特別的是接合墊274包括一金屬層,例如鋁銅合金或其他適當金屬,其透過沉積及圖案化而形成。在各個不同範例中,沉積包括物理氣相沉積(physical vapor deposition,PVD),而圖案化包括微影及蝕刻製程。接合墊274設置於介電平台層272上,且進一步填入接合區216的局部開口270內,使接合墊274與內連結構230(例如,內連結構230中第一層金屬層242的一金屬特徵元件)直接接觸。
根據本實施例,形成接合墊274及鄰近於開口270的介電平台層272,且接合墊274自開口270內的內連結構230的金屬特徵元件延伸至介電平台層272的好處在開口內一部分的接合墊以及介電平台層上的一部分的接合墊一體成形而具有高機械強度,因而抑制了接合墊破裂及剝離的問題。在後續測試(球剪力測試)期間或後續接合製程期間,壓力施加於接合墊274時,內連結構230與接合墊274結合成一體將不會產生接合墊274破裂及剝離。因此,本實施例可降低或完全排除接合墊274破裂及剝離問題。
如第7圖所示,接合墊274與開口270內的第一層金屬層242接觸。因此,可經由接合墊274電性連接影像感測裝置200及影像感測裝置200外部的裝置。為了簡化圖式,此處僅繪示出4個金屬層242、244、246及248,然而可以理解的是內連結構230內可具有任何層數(n層)的金屬層。也可以理解的是接合墊274可延伸而接觸內連結構的任何金屬層,例如頂層金屬層。
請再參照第7圖,一緩衝層282可形成於接合墊274上。緩衝層282設置於接合墊274上,使接合墊274被緩衝層282完全覆蓋。因此,在後續金屬蝕刻期間,緩衝層282可作為蝕刻終止層而保護接合墊274不受到蝕刻。在本實施例中,緩衝層282設置於接合墊274上,且填入接合區216中局部的開口270內。緩衝層282包括可形成於遮蔽區217及感光區(裝置區)218的緩衝層264上。緩衝層282包括氧化矽或其他適當的介電材料,其適用於金屬蝕刻期間作為蝕刻終止層。緩衝層282可透過沉積而形成,例如CVD。
一遮蔽結構276形成於遮蔽區217的緩衝層282上。在一實施例中,遮蔽結構276及接合墊274包括相同的金屬材料。如第7圖所示,接合墊274可具有一厚度278,而遮蔽結構276具有一厚度280。當分開形成時,厚度278及厚度280可不同且可根據各自的目的進行調整。在一範例中,接合墊274可具有一厚度278,而遮蔽結構厚度280可在500埃()至10000埃的範圍。再者,當接合墊274與遮蔽結構276分開形成時,遮蔽結構276可使用不同的材料以加強遮蔽效應。在其他範例中,接合墊274與遮蔽結構276包括一金屬材料,例如鋁、銅、鋁銅合金、鈦、鉭、氮化鈦、氮化鉭、鎢或其合金。遮蔽結構276可透過沉積及圖案化等步驟而形成。沉積步驟使用適當的習知技術,例如PVD、CVD或其組合或其他適當技術。圖案化步驟包括微影製程及蝕刻製程,在進行蝕刻製程以圖案化遮蔽結構276期間,緩衝層282作為蝕刻終止層,以保護接合墊274不受到損害。
一鈍化保護層284形成於緩衝層282及遮蔽結構276上,且填入局部的開口270。鈍化保護層284可包括一或多個緩衝層。鈍化保護層284可包括任合適當的介電材料。在本實施例中,鈍化保護層284可包括氮化矽、氧化矽、氮氧化矽或其組合。鈍化保護層284可透過適當的製程而形成,例如CVD。
請參照第8圖,為了後續的接合製程,進一步圖案化緩衝層282及鈍化保護層284,使介電平台層272上的至少一部分的接合墊274露出。特別的是使用適當的製程來蝕刻去除介電平台層272上的至少一部分的鈍化保護層284及至少一部分的緩衝層282,以定義出一接合墊開口288,如第8圖所示。在一實施例中,圖案化緩衝層282及鈍化保護層284,使位於感光區(裝置區)218的緩衝層282及鈍化保護層284同樣被除去。在另一實施例中,圖案化鈍化保護層284的製程包括微影及蝕刻製程。在其他範例中,蝕刻製程可包括適當的蝕刻技術,例如濕蝕刻或乾蝕刻製程。在另一實施例中,蝕刻製程包括二個蝕刻步驟,分別選擇性蝕刻鈍化保護層284及緩衝層282。
在另一實施例中,接合墊274及遮蔽結構276的形成順序不同。遮蔽結構276形成於遮蔽區217。緩衝層282設置於基底210上及位於遮蔽結構276上,且進一步圖案化,使其至少露出局部的接合墊274。實施一包括蝕刻的圖案化製程,以形成開口270及介電平台層272。之後透過沉積及蝕刻,於介電平台層272上及開口270內形成接合墊274。沉積及圖案化鈍化保護層284,以形成接合墊開口288,使其露出介電平台層272上的接合墊274。
在另一實施例中,接合墊274及遮蔽結構276同時形成於同一步驟。特別的是實施一包括蝕刻的圖案化製程,以形成開口270及介電平台層272。沉積及圖案化一金屬層,以形成接合墊274及遮蔽結構276兩者。可進一步於基底210沉積緩衝層282,且沉積鈍化保護層284(例如在緩衝層282上)。圖案化緩衝層282及鈍化保護層284,使其露出介電平台層272上的接合墊274。在另一範例中,圖案化緩衝層282及鈍化保護層284,使位於感光區(裝置區)218的緩衝層282及鈍化保護層284同時被除去。
第9a、9b、9c圖係進一步繪示出根據本發明不同實施例之接合墊開口288平面示意圖。為了後續接合製程(例如貼附於金線或焊球,共稱之為接合球),接合墊開口288的外形可為圓形或其他適當的幾何形。接合墊274露出於接合墊開口288內。鈍化保護層284覆蓋了接合區216的其他區域,包括開口270(例如,270a及270b)。
雖未繪示,仍進行了額外的製程,以完成影像感測裝置200的製做。舉例來說,在感光區218內形成彩色濾光片。彩色濾光片的放置可使光線直接位於其上或穿過。彩色濾光片可包括染料型(或顏料型)高分子或樹脂,用以濾除特定光波長波段,其對應至彩色光譜(例如,紅色、綠色及藍色)。之後,微透鏡形成於彩色濾光片上,以導引及聚焦通往裝置基底210內特定的感光區,例如感測器220。微透鏡可具有不同的排列及不同的外型,取決於微透鏡所使用材料的折射率及其與感測表面之間的距離。可以理解的是可在形成彩色濾光片或微透鏡之前,對裝置基底210進行一非必需的雷射退火製程。
因此,此處提供一半導體結構,半導體結構,包括:一裝置基底,具有一前側及一背側;一內連結構,設置於裝置基底的前側上;以及一接合墊,連接至內連結構。接合墊包括:一凹口區,位於一介電材料層內;一介電平台層,由介電材料層所構成,且與凹口區相鄰;以及一金屬層,設置於凹口區內及介電平台層上。
在一些實施例中,凹口區包括一溝槽,形成於介電材料層內,而溝槽包括一第一部及一第二部,且介電平台層夾設於溝槽的第一部與第二部之間。在另一實施例中,凹口區包括一第一溝槽及一第二溝槽,形成於介電材料層內,且介電平台層夾設於第一溝槽與該第二溝槽之間。在另一實施例中,凹口區包括一溝槽,形成於介電材料層內且圍繞介電平台層。金屬層可包括鋁銅合金。金屬層與位於凹口區的內連結構的一金屬特徵元件接觸。又另一實施例中,介電材料層包括:一內層介電(ILD)層,與金屬特徵部件相鄰;以及一溝槽隔離特徵元件與內層介電(ILD)層相鄰。又另一實施例中,凹口區包括一凹口,延伸穿過溝槽隔離特徵元件及內層介電(ILD)層。根據一實施例,金屬特徵元件包括位於一第一層金屬層內的一金屬線。介電材料層可包括氧化矽。半導體結構更包括一接合球,設置於金屬層上,且接著於介電平台層內的局部金屬層上。在另一實施例中,半導體結構更包括:一感光區,包括一背面受光型感測器,其設置於裝置基底的前側上,且背面受光型感測器用以感測從裝置基底的背側投射至感光區的光線;一遮光區,與感光區相鄰,且具有一遮蔽特徵元件,遮蔽特徵元件包括金屬且設置於裝置基底的背側上;以及一接合區,包括接合墊。又另一實施例中,半導體結構更包括一鈍化保護層,設置於裝置基底的前側上,其中鈍化保護層形成於凹口區內及介電平台層上,且鈍化保護層形成於遮蔽特徵元件上。
此處也提供另一實施例之半導體結構。半導體結構,包括:一半導體基底,具有一感光區及一接合區,且具有一前側及一背側;一光感測器,形成於感光區內的半導體基底的背側上;一內連結構,設置於半導體基底的前側上,且將光感測器耦接至接合區內的內連結構的一金屬特徵元件;一承載基底,接合至具有內連結構的半導體基底的前側上,內連結構夾設於半導體基底與承載基底之間;一開口,位於半導體基底的背側上,其中開口形成於接合區內,且延伸穿過一介電材料層至內連結構的金屬特徵元件且定義出位於金屬特徵元件上方且由介電材料層所構成的一介電平台層;以及一金屬層,填入位於接合區的半導體基底的背側的局部開口內,其中金屬層與內連結構的金屬特徵元件直接接觸且延伸至介電平台層。
在一些實施例中,半導體結構更包括一接合球,接著於金屬層上且垂直對準介電平台層,其中光感測器包括一背面受光型影像感測器。在其他實施例中,開口包括一溝槽,位於介電材料層內,而溝槽包括一第一部及一第二部,且介電平台層夾設於溝槽的第一部與第二部之間。又另一實施例中,開口包括一第一溝槽及一第二溝槽,位於介電材料層內,且介電平台層夾設於第一溝槽與第二溝槽之間。又另一實施例中,半導體結構更包括一鈍化保護層,設置於半導體基底的背側上,其中鈍化保護層形成於介電材料層的開口內,且包括一開口,其中鈍化保護層的開口對準介電平台層,且露出介電平台層。
此處也提供一方法。此方法包括在一裝置基底的一前側上形成一光感測器;在裝置基底的前側上形成一內連結構,其耦接至光感測器;將一承載基底接合至裝置基底的背側上;蝕刻位於裝置基底的背側上的一介電材料層,以形成穿過介電材料層的一開口而露出內連結構的一金屬特徵元件,且定義出由介電材料層所構成且被開口所圍繞的一介電平台層;以及在開口內及介電平台層上形成一金屬層以作為一接合墊,其中接合墊與位於開口內的金屬特徵元件直接接觸。
在一些實施例中,在蝕刻介電材料層的步驟包括形成一第一溝槽及一第二溝槽,使介電平台層夾設於其間。在另一實施例中,此方法更包括在金屬層上形成一鈍化保護層,鈍化保護層設至於開口內;以及圖案化鈍化保護層,以至少局部露出介電平台層上的金屬層。又另一實施例中,此方法更包括在開口內的鈍化保護層上以及介電平台層上的金屬層上形成一接合金屬。又另一實施例中,形成內連結構的步驟包括在裝置基底上形成一內層介電(ILD)材料,而蝕刻一介電材料層的步驟包括蝕刻內層介電(ILD)材料。在另一實施例中,此方法更包括在靠近感光裝置的裝置基底上形成一金屬遮蔽特徵元件。又另一實施例中,形成一光感測器的步驟包括在一感光區形成一光感測器,其具有一配置使光感測器用以感測從背側投射至感光區的光線。
上述說明提供許多不同的實施例或範例,用以實施本發明不同的特徵。以上所述的部件及排置的特定範例係用以簡化本說明。當然,此僅僅作為範例說明而並未用以限定本發明。因此,此處所述的部件可在不脫離本發明之精神和範圍內以不同於此處實施例的方式進行排列、組合或裝配。
以上敘述許多實施例的特徵,使所屬技術領域中具有通常知識者能夠清楚理解以下的說明。所屬技術領域中具有通常知識者能夠理解其可利用本發明揭示內容作為基礎,以設計或更動其他製程及結構而完成相同於上述實施例的目的及/或達到相同於上述實施例的優點。所屬技術領域中具有通常知識者亦能夠理解不脫離本發明之精神和範圍的等效構造可在不脫離本發明之精神和範圍內作任意之更動、替代與潤飾。
100...方法
102、104、106、108、110、112、114、116...步驟
200...影像感測裝置
210...裝置基底
212...前側
214...背側
216...接合區
217...遮蔽區
218...感光區
220...(光)感測器
222...淺溝槽隔離層(淺溝槽隔離特徵元件)
230...內連結構
232...內層介電層
234、236、238、240...金屬層間介電層
242...金屬層/第一層金屬層
244、246、248...金屬層
250、284...鈍化保護層
260...承載基底
262、278、280...厚度
263...抗反射層
264、282...緩衝層
270...開口
270a...第一部(第一溝槽)
270b...第二部(第二溝槽)
272...介電平台層
274...接合墊
276...遮蔽結構
288...接合墊開口
L、Lp...長度
W...寬度
Wo...開口寬度
Wp...第二尺寸
第1圖係繪示出根據本實施例不同型態之半導體結構製造方法流程圖。
第2至5圖及第7至8圖係繪示出第1圖中方法之半導體結構於不同製造階段的剖面示意圖。
第6a、6b及6c圖係繪示出第1圖中方法之半導體結構於不同製造階段的平面示意圖。
第9a、9b及9c圖係繪示出第1圖中方法之半導體結構於不同製造階段的平面示意圖。
200...影像感測裝置
210...裝置基底
212...前側
214...背側
216...接合區
217...遮蔽區
218...感光區
220...(光)感測器
222...淺溝槽隔離層(淺溝槽隔離特徵元件)
230...內連結構
232...內層介電層
234、236、238、240...金屬層間介電層
242...金屬層/第一層金屬層
244、246、248...金屬層
250、284...鈍化保護層
260...承載基底
262、278、280...厚度
263...抗反射層
264、282...緩衝層
270...開口
270a...第一部(第一溝槽)
270b...第二部(第二溝槽)
272...介電平台層
274...接合墊
276...遮蔽結構
288...接合墊開口

Claims (10)

  1. 一種半導體結構,包括:一裝置基底,具有一前側及一背側;一內連結構,設置於該裝置基底的該前側上;以及一接合墊,連接至該內連結構,其中該接合墊包括:一凹口區,位於一介電材料層及該裝置基底的一淺溝槽隔離層內;一介電平台層,由該介電材料層及該淺溝槽隔離層所構成,且與該凹口區相鄰;以及一金屬層,設置於該凹口區內及該介電平台層上。
  2. 如申請專利範圍第1項所述之半導體結構,其中該凹口區包括一第一溝槽及一第二溝槽,形成於該介電材料層內,且該介電平台層夾設於該第一溝槽與該第二溝槽之間。
  3. 如申請專利範圍第1項所述之半導體結構,其中該凹口區包括一溝槽,形成於該介電材料層內且圍繞該介電平台層。
  4. 如申請專利範圍第1項所述之半導體結構,其中該金屬層與位於該凹口區的該內連結構的一金屬特徵元件接觸。
  5. 如申請專利範圍第1項所述之半導體結構,更包括一接合球,設置於該金屬層上,且接著於該介電平台層內的局部該金屬層上。
  6. 如申請專利範圍第1項所述之半導體結構,更包括: 一感光區,包括一背面受光型感測器,其設置於該裝置基底的該前側上,且用以感測從該裝置基底的該背側投射至該感光區的光線;一遮光區,與該感光區相鄰,且具有一遮蔽特徵元件,該遮蔽特徵元件包括金屬且設置於該裝置基底的該背側上;一接合區,包括該接合墊;以及一鈍化保護層,設置於該裝置基底的該前側上,其中該鈍化保護層形成於該凹口區內及該介電平台層上,且形成於該遮蔽特徵元件上。
  7. 一種半導體結構,包括:一半導體基底,具有一感光區及一接合區,且具有一前側及一背側;一光感測器,形成於該感光區內的該半導體基底的該前側上;一內連結構,設置於該半導體基底的該前側上,且將該光感測器耦接至該接合區內該內連結構的一金屬特徵元件;一承載基底,接合至具有該內連結構的該半導體基底的該前側上,該內連結構夾設於該半導體基底與該承載基底之間;一開口,位於該半導體基底的該背側上,其中該開口形成於該接合區內,且延伸穿過一介電材料層及該半導體基底的一淺溝槽隔離層至該內連結構的該金屬特徵元件且定義出位於該金屬特徵元件上方且由該介電材料 層及該淺溝槽隔離層所構成的一介電平台層;以及一金屬層,填入位於該接合區的該半導體基底的該背側的該局部開口內,其中該金屬層與該內連結構的該金屬特徵元件直接接觸且延伸至該介電平台層。
  8. 如申請專利範圍第7項所述之半導體結構,更包括一接合球,接著於該金屬層上且垂直對準該介電平台層,其中該光感測器包括一背面受光型影像感測器;以及一鈍化保護層,設置於該半導體基底的該背側上,其中該鈍化保護層形成於該介電材料層的該開口內,且包括一開口,其中該鈍化保護層的該開口對準該介電平台層,且露出該介電平台層。
  9. 如申請專利範圍第7項所述之半導體結構,其中該開口包括一第一溝槽及一第二溝槽,位於該介電材料層內,且該介電平台層夾設於該第一溝槽與該第二溝槽之間。
  10. 一種半導體裝置之製造方法,包括:在一裝置基底的一前側上形成一光感測器;在該裝置基底的該前側上形成一內連結構,其耦接至該光感測器;將一承載基底接合至該裝置基底的該前側上;蝕刻位於該裝置基底的該背側上的一介電材料層及位於該裝置基底的該前側上的一淺溝槽隔離層,以形成穿過該介電材料層及該淺溝槽隔離層的一開口而露出該內連結構的一金屬特徵元件,且定義出由該介電材料層 及該淺溝槽隔離層所構成且被該開口所圍繞的一介電平台層,其中該開口包括一第一溝槽及一第二溝槽,使該介電平台層夾設於其間;以及在該開口內及該介電平台層上形成一金屬層以作為一接合墊,其中該接合墊與位於該開口內的該金屬特徵元件直接接觸。
TW101100022A 2011-07-07 2012-01-02 半導體結構及半導體裝置製造方法 TWI585916B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US13/177,686 US8435824B2 (en) 2011-07-07 2011-07-07 Backside illumination sensor having a bonding pad structure and method of making the same

Publications (2)

Publication Number Publication Date
TW201304094A TW201304094A (zh) 2013-01-16
TWI585916B true TWI585916B (zh) 2017-06-01

Family

ID=47426393

Family Applications (1)

Application Number Title Priority Date Filing Date
TW101100022A TWI585916B (zh) 2011-07-07 2012-01-02 半導體結構及半導體裝置製造方法

Country Status (6)

Country Link
US (1) US8435824B2 (zh)
JP (1) JP5676528B2 (zh)
KR (1) KR101259724B1 (zh)
CN (1) CN102867832B (zh)
DE (1) DE102011056178B4 (zh)
TW (1) TWI585916B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI770890B (zh) * 2020-11-13 2022-07-11 台灣積體電路製造股份有限公司 半導體元件及製造其的方法

Families Citing this family (48)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20090128899A (ko) 2008-06-11 2009-12-16 크로스텍 캐피탈, 엘엘씨 후면 조사 이미지 센서 및 그 제조방법
JP5857399B2 (ja) 2010-11-12 2016-02-10 ソニー株式会社 固体撮像装置及び電子機器
JP2013069718A (ja) * 2011-09-20 2013-04-18 Toshiba Corp 固体撮像装置
KR20130106619A (ko) * 2012-03-20 2013-09-30 삼성전자주식회사 이미지 센서 및 그 제조 방법
US8803271B2 (en) * 2012-03-23 2014-08-12 Taiwan Semiconductor Manufacturing Company, Ltd. Structures for grounding metal shields in backside illumination image sensor chips
US8765608B2 (en) * 2012-05-01 2014-07-01 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for forming trenches
US8796805B2 (en) * 2012-09-05 2014-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. Multiple metal film stack in BSI chips
US8866250B2 (en) * 2012-09-05 2014-10-21 Taiwan Semiconductor Manufacturing Company, Ltd. Multiple metal film stack in BSI chips
US8884390B2 (en) * 2013-01-30 2014-11-11 Taiwan Semiconductor Manufacturing Company, Ltd. Backside illumination image sensor chips and methods for forming the same
US9252180B2 (en) * 2013-02-08 2016-02-02 Taiwan Semiconductor Manufacturing Company, Ltd. Bonding pad on a back side illuminated image sensor
CN103117290B (zh) * 2013-03-07 2015-08-19 豪威科技(上海)有限公司 背照式cmos影像传感器及其制造方法
US20140252521A1 (en) * 2013-03-11 2014-09-11 Taiwan Semiconductor Manufacturing Company, Ltd. Image Sensor with Improved Dark Current Performance
US9691809B2 (en) * 2013-03-14 2017-06-27 Taiwan Semiconductor Manufacturing Company, Ltd. Backside illuminated image sensor device having an oxide film and method of forming an oxide film of a backside illuminated image sensor device
US9059155B2 (en) 2013-03-14 2015-06-16 Infineon Technologies Austria Ag Chip package and method for manufacturing the same
CN104103511B (zh) * 2013-04-03 2017-03-08 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制造方法
US20140326856A1 (en) * 2013-05-06 2014-11-06 Omnivision Technologies, Inc. Integrated circuit stack with low profile contacts
US9123837B2 (en) * 2013-05-31 2015-09-01 Oxford Instruments Analytical Oy Semiconductor detector with radiation shield
US20160122107A1 (en) * 2013-06-21 2016-05-05 Vortex Innovation Worx (Pty) Packaging Arrangement
CN104517975B (zh) * 2013-09-27 2017-07-07 中芯国际集成电路制造(上海)有限公司 一种半导体器件的制作方法
JP6200835B2 (ja) 2014-02-28 2017-09-20 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
US9281338B2 (en) * 2014-04-25 2016-03-08 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor image sensor device having back side illuminated image sensors with embedded color filters
US9614000B2 (en) * 2014-05-15 2017-04-04 Taiwan Semiconductor Manufacturing Company, Ltd. Biased backside illuminated sensor shield structure
JP6344991B2 (ja) * 2014-06-17 2018-06-20 キヤノン株式会社 撮像装置の製造方法
KR102374110B1 (ko) * 2014-08-22 2022-03-14 삼성전자주식회사 쉴딩 구조를 갖는 이미지 센서
JP6353354B2 (ja) * 2014-12-12 2018-07-04 ルネサスエレクトロニクス株式会社 撮像装置およびその製造方法
US9748301B2 (en) 2015-01-09 2017-08-29 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and manufacturing method thereof
US9536810B1 (en) * 2015-06-12 2017-01-03 Taiwan Semiconductor Manufacturing Co., Ltd. Flat pad structure for integrating complementary metal-oxide-semiconductor (CMOS) image sensor processes
US10038026B2 (en) 2015-06-25 2018-07-31 Taiwan Semiconductor Manufacturing Co., Ltd. Bond pad structure for bonding improvement
US9704827B2 (en) 2015-06-25 2017-07-11 Taiwan Semiconductor Manufacturing Co., Ltd. Hybrid bond pad structure
US9881884B2 (en) * 2015-08-14 2018-01-30 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure and method for forming the same
US10833119B2 (en) 2015-10-26 2020-11-10 Taiwan Semiconductor Manufacturing Co., Ltd. Pad structure for front side illuminated image sensor
US9847359B2 (en) 2015-11-17 2017-12-19 Semiconductor Components Industries, Llc Image sensors with improved surface planarity
US10038025B2 (en) 2015-12-29 2018-07-31 Taiwan Semiconductor Manufacturing Co., Ltd. Via support structure under pad areas for BSI bondability improvement
US10109666B2 (en) * 2016-04-13 2018-10-23 Taiwan Semiconductor Manufacturing Co., Ltd. Pad structure for backside illuminated (BSI) image sensors
CN108346672A (zh) * 2017-01-23 2018-07-31 中芯国际集成电路制造(上海)有限公司 一种背照式图像传感器及其制造方法和电子装置
US10147754B2 (en) * 2017-02-22 2018-12-04 Omnivision Technologies, Inc. Backside illuminated image sensor with improved contact area
CN108695173B (zh) * 2017-04-06 2020-05-12 中芯国际集成电路制造(北京)有限公司 一种半导体器件的制造方法
CN107195649B (zh) * 2017-06-06 2019-09-17 豪威科技(上海)有限公司 背照式cmos图像传感器及其制造方法
DE102018124940B4 (de) * 2017-11-08 2024-02-01 Taiwan Semiconductor Manufacturing Co., Ltd. CMOS-Sensoren und Verfahren zur Bildung derselben
US10283548B1 (en) * 2017-11-08 2019-05-07 Taiwan Semiconductor Manufacturing Co., Ltd. CMOS sensors and methods of forming the same
US10985199B2 (en) * 2018-10-31 2021-04-20 Taiwan Semiconductor Manufacturing Company Ltd. Image sensor having stress releasing structure and method of forming same
KR102578569B1 (ko) * 2019-01-22 2023-09-14 주식회사 디비하이텍 후면 조사형 이미지 센서 및 그 제조 방법
KR102581170B1 (ko) * 2019-01-22 2023-09-21 주식회사 디비하이텍 후면 조사형 이미지 센서 및 그 제조 방법
CN110767605B (zh) * 2019-11-04 2022-10-18 武汉新芯集成电路制造有限公司 一种金属衬垫的形成方法
CN111029352B (zh) * 2019-12-02 2022-07-01 武汉新芯集成电路制造有限公司 半导体器件及其制造方法
US11133251B1 (en) * 2020-03-16 2021-09-28 Nanya Technology Corporation Semiconductor assembly having T-shaped interconnection and method of manufacturing the same
US11502123B2 (en) 2020-04-17 2022-11-15 Taiwan Semiconductor Manufacturing Company Limited Methods for forming image sensor devices
CN112582277A (zh) * 2020-12-08 2021-03-30 武汉新芯集成电路制造有限公司 半导体器件的加工方法及半导体器件

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6191023B1 (en) * 1999-11-18 2001-02-20 Taiwan Semiconductor Manufacturing Company Method of improving copper pad adhesion
US20050104148A1 (en) * 2003-11-17 2005-05-19 Sony Corporation Solid-state imaging device and method of manufacturing solid-state imaging device background of the invention
US20090185060A1 (en) * 2008-01-21 2009-07-23 Sony Corporation Solid-state imaging device, method of fabricating solid-state imaging device, and camera

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH02129926A (ja) * 1988-11-09 1990-05-18 Mitsubishi Electric Corp ボンディングパッド形成体
JPH10321631A (ja) * 1997-05-19 1998-12-04 Oki Electric Ind Co Ltd 半導体装置およびその製造方法
KR100230428B1 (ko) * 1997-06-24 1999-11-15 윤종용 다층 도전성 패드를 구비하는 반도체장치 및 그 제조방법
KR20000010306A (ko) * 1998-07-31 2000-02-15 윤종용 표면에 요철이 형성된 본딩 패드를 구비한 반도체 장치
JP3324581B2 (ja) * 1999-09-21 2002-09-17 日本電気株式会社 固体撮像装置及びその製造方法
US20030020163A1 (en) * 2001-07-25 2003-01-30 Cheng-Yu Hung Bonding pad structure for copper/low-k dielectric material BEOL process
JP4016340B2 (ja) 2003-06-13 2007-12-05 ソニー株式会社 半導体装置及びその実装構造、並びにその製造方法
KR100801447B1 (ko) * 2006-06-19 2008-02-11 (주)실리콘화일 배면 광 포토다이오드를 이용한 이미지센서 및 그 제조방법
JP2008066440A (ja) 2006-09-06 2008-03-21 Matsushita Electric Ind Co Ltd 半導体装置およびその製造方法
US7659595B2 (en) * 2007-07-16 2010-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. Embedded bonding pad for backside illuminated image sensor
US7675101B2 (en) * 2007-09-07 2010-03-09 Dongbu Hitek Co., Ltd. Image sensor and manufacturing method thereof
WO2009058143A1 (en) * 2007-10-31 2009-05-07 Agere Systems Inc. Bond pad support structure for semiconductor device
US8178980B2 (en) * 2008-02-05 2012-05-15 Taiwan Semiconductor Manufacturing Company, Ltd. Bond pad structure
US8278152B2 (en) * 2008-09-08 2012-10-02 Taiwan Semiconductor Manufacturing Company, Ltd. Bonding process for CMOS image sensor
JP5268618B2 (ja) * 2008-12-18 2013-08-21 株式会社東芝 半導体装置
JP2011003645A (ja) * 2009-06-17 2011-01-06 Sharp Corp 半導体装置およびその製造方法
US8502335B2 (en) * 2009-07-29 2013-08-06 Taiwan Semiconductor Manufacturing Company, Ltd. CMOS image sensor big via bonding pad application for AlCu Process
US8344471B2 (en) 2009-07-29 2013-01-01 Taiwan Semiconductor Manufacturing Company, Ltd. CMOS image sensor big via bonding pad application for AICu process
JP2011086709A (ja) * 2009-10-14 2011-04-28 Toshiba Corp 固体撮像装置及びその製造方法
JP5442394B2 (ja) * 2009-10-29 2014-03-12 ソニー株式会社 固体撮像装置とその製造方法、及び電子機器

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6191023B1 (en) * 1999-11-18 2001-02-20 Taiwan Semiconductor Manufacturing Company Method of improving copper pad adhesion
US20050104148A1 (en) * 2003-11-17 2005-05-19 Sony Corporation Solid-state imaging device and method of manufacturing solid-state imaging device background of the invention
US20090185060A1 (en) * 2008-01-21 2009-07-23 Sony Corporation Solid-state imaging device, method of fabricating solid-state imaging device, and camera

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI770890B (zh) * 2020-11-13 2022-07-11 台灣積體電路製造股份有限公司 半導體元件及製造其的方法

Also Published As

Publication number Publication date
US8435824B2 (en) 2013-05-07
DE102011056178A1 (de) 2013-01-10
CN102867832B (zh) 2016-06-01
DE102011056178B4 (de) 2016-07-07
US20130009270A1 (en) 2013-01-10
JP5676528B2 (ja) 2015-02-25
TW201304094A (zh) 2013-01-16
CN102867832A (zh) 2013-01-09
KR101259724B1 (ko) 2013-04-30
JP2013021323A (ja) 2013-01-31
KR20130006248A (ko) 2013-01-16

Similar Documents

Publication Publication Date Title
TWI585916B (zh) 半導體結構及半導體裝置製造方法
US11355545B2 (en) Semiconductor image sensor device having back side illuminated image sensors with embedded color filters
TWI476890B (zh) 半導體裝置及其製造方法
TWI452683B (zh) 半導體元件及其製造方法
US8227288B2 (en) Image sensor and method of fabricating same
TW201322434A (zh) 裝置及半導體影像感測元件與其形成方法
TW201913988A (zh) 影像感測器裝置
US11869916B2 (en) Bond pad structure for bonding improvement