TWI570843B - 利用非平面佈局的抗熔絲元件 - Google Patents

利用非平面佈局的抗熔絲元件 Download PDF

Info

Publication number
TWI570843B
TWI570843B TW101135911A TW101135911A TWI570843B TW I570843 B TWI570843 B TW I570843B TW 101135911 A TW101135911 A TW 101135911A TW 101135911 A TW101135911 A TW 101135911A TW I570843 B TWI570843 B TW I570843B
Authority
TW
Taiwan
Prior art keywords
region
fin
fuse
tapered portion
substrate
Prior art date
Application number
TW101135911A
Other languages
English (en)
Other versions
TW201334119A (zh
Inventor
華利德M 哈弗茲
簡嘉宏
庫提斯 蔡
朴洙東
葉震亞
Original Assignee
英特爾公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 英特爾公司 filed Critical 英特爾公司
Publication of TW201334119A publication Critical patent/TW201334119A/zh
Application granted granted Critical
Publication of TWI570843B publication Critical patent/TWI570843B/zh

Links

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B20/00Read-only memory [ROM] devices
    • H10B20/20Programmable ROM [PROM] devices comprising field-effect components
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/525Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body with adaptable interconnections
    • H01L23/5252Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body with adaptable interconnections comprising anti-fuses, i.e. connections having their state changed from non-conductive to conductive
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7853Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET the body having a non-rectangular crossection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Semiconductor Memories (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Thin Film Transistor (AREA)

Description

利用非平面佈局的抗熔絲元件 發明領域
本申請案係關於抗熔絲元件,特別是利用非平面佈局的抗熔絲元件。
發明背景
金屬熔絲與抗熔絲陣列通常用於非依電性、互補式金氧半導體相容儲存器。例如,可編程記憶體裝置,諸如僅讀記憶體(PROM)以及一次性可編程僅讀記憶體(OTPROM)通常藉由於記憶體電路內破壞鍊結(經由一熔絲)或創造鍊結(經由一抗熔絲)其中一者所編程。舉例而言,於PROM中,每一記憶體位置或位元格包含一熔絲及/或一抗熔絲,且係藉由觸發兩者的其中一者所編程。該編程通常是在製造該記憶體裝置之後完成,且係以特定的最終用途或應用而受考慮。一旦執行傳統的位元格編程,通常是不可逆的。
熔絲鏈結通常以電阻式熔絲元件實施,其可為斷路或者以適量的高電流燒斷。另一方面,抗熔絲鍊結係以介於兩導體層或端子之間的一非導電性材料(諸如二氧化矽)之一薄阻抗層實施,因此,當一足夠高的電壓施加於該二端子之間,二氧化矽或其他非導電性材料有效地轉換為在該兩端子之間的短路或者其他低電阻導通路徑。用於編成記憶體的傳統抗熔絲鏈結係與一些非顯而易見的問題 相關聯。
發明概要
一種半導體抗熔絲裝置,包含:具有組配有一漸縮部分之一鰭片的一非平面擴散區域;於含括有該漸縮部分之該鰭片上的一介電質隔離層;以及於該介電質隔離層上的一閘極材料。
401‧‧‧填充
403‧‧‧沉積
405‧‧‧圖樣化、蝕刻
407‧‧‧進行
409‧‧‧剝除、移除
411‧‧‧提供
413‧‧‧提供
1000‧‧‧計算裝置
1002‧‧‧主機板
1004‧‧‧處理器
圖1例示典型的平面抗熔絲電晶體結構的一立體圖;圖2a與2b每一者例示根據本發明之一範例實施例所組配的FinFET抗熔絲電晶體結構之一立體圖;圖3a顯示根據本發明之範例實施例的該FinFET抗熔絲電晶體結構之崩潰電壓係如何以該鰭式佈局而調變;圖3b至3d例示根據本發明之一範例實施例所組配的FinFET抗熔絲電晶體結構之範例;圖4例示用以製造根據本發明之一範例實施例的FinFET抗熔絲電晶體結構之一方法;圖5至16例示根據本發明之一範例實施例所組配之藉由完成圖4的方法所產生的範例結構;第17圖例示一計算系統,係以根據本發明之一範例實施例的一個或多個FinFET抗熔絲電晶體結構所實施。
可以理解的是,圖式不一定依照比例繪製、或者亦欲限制請求的發明為顯示之特定組態。例如,雖然若干圖式一般指示直線、直角、以及平滑表面,一抗熔絲結構之實際實施可具有不完美的直線、直角,以及可能具有平面佈局或其他非平滑、受所使用加工設備技術之給定的實際限制等若干特徵。
較佳實施例之詳細說明
在此揭露用以提供非依電性抗熔絲記憶體元件以及其他抗熔絲鏈結。於若干實施例中,抗熔絲記憶體元件係組配為諸如鰭式場效電晶體(FinFET)佈局之非平面佈局。於若干此等實施例中,藉由產生適用於較低電壓非依電性抗熔絲記憶體元件的增強發射位置,該鰭式佈局可受操作且用以有效地遙控較低崩潰電壓電晶體。然而,基於此揭露,注意許多其他半導體抗熔絲元件的應用將為顯而易見,且請求發明不欲限定於記憶體應用。
總體概述
如先前所註記,用於編程記憶體之傳統抗熔絲鏈結係相關於一些非顯而易見的問題。舉例而言,一次性編程(OTP)記憶體陣列通常使用多晶矽熔絲、金屬熔絲以及氧化抗熔絲來建構。多晶矽以及金屬熔絲陣列傳統地較氧化抗熔絲陣列具有較大的足跡,部分是歸因於需要熔化該元件的大電流(例如,數萬毫安培的電流)。氧化抗熔絲目前取決於耦合至一閘極電極的一閘極氧化物,而形成該熔絲 元件,且典型地以平面技術建構,諸如顯示於圖1中的一者,其大致包括用於源極與汲極區的一擴散層、以及形成於該擴散層之頂部、且藉由一氧化層與其隔離的一閘極。正如可見的,於此等平面佈局中,該抗熔絲結構之該氧化層崩潰在閘極之下任何位置具有相同的發生機率。
除此之外,增加數位權利管理以及安全需求,對於數位機上盒以及其他此等保護內容裝置,通常必要有大陣列的加密僅讀記憶體(例如,諸如高頻帶數位內容保護或HDCP金鑰)。在一給定典型的碼儲存器或數位安全應用程式內,抗熔絲元件所需供應的數量已經從先前世代的數萬位元,成長至對於未來世代預計數萬兆位元。當以傳統的抗熔絲架構實施時,此等巨大儲存陣列意味著實質晶粒尺寸性能損失。此外,成功地編程此等抗熔絲元件所需功率增加了電路複雜度以及其本身功率消耗的增加。
相反於傳統的平面抗熔絲結構,本發明之一實施例使用一非平面FinFET抗熔絲佈局。藉由利用FinFET的幾何形狀,可以降低用以創造電晶體硬崩潰所需的電壓,此為適用於抗熔絲記憶體運作。特別是該FinFET抗熔絲佈局可用以於閘極隔離介電質內創造或者加強高電場區,其接著作用以降低該抗熔絲元件的崩潰電壓。一FinFET係指圍繞著半導體材料的一薄片(通常被稱為鰭片)的一種電晶體。該電晶體包括標準場效電晶體(FET)的節點,包括一閘極、閘極隔離介電質以及一源極與汲極區。裝置的導通通道係存在於在該閘極隔離介電質之下的該鰭片之內。更明 確地,電流沿著該鰭片的兩側壁(垂直於基板表面的兩側)通過,以及沿著該鰭片的頂部(平行於該基板表面的一側)通過。因為此等組態的導通通道係主要地存在於沿著該鰭片的三個不同外部平面區,此FinFET設計有時會被稱為三閘極FinFET。基於此揭露,其他類型的FinFET以及非平面組態為顯而易見的可用來實施本發明的一實施例,諸如雙閘極FinFET,其中該導通通道主要地存在於沿著該鰭片結構的兩側壁。
根據一個此等範例實施例,該FinFET電晶體之鰭片寬度係受調配或是塑型,因此於該鰭片的一頂部或上部部份產生一高電場,順利地降低該熔絲元件的崩潰。於其他實施例中,可於該鰭片的一中間部分或下部部份產生該高電場。於另一些其他實施例中,可於該鰭片的頂部、中部與下部部份其中兩者或更多者之組合處產生該高電場。可使用任何數量的鰭片調變策略,只要可於所欲崩潰電壓處(或者在若干所欲範圍崩潰電壓之內)形成一操作短路電路。在此意義上,可調變該電晶體的鰭片/擴散形狀,以創造所欲發射位置。此等最佳化在標準平面電晶體架構中是不可能的。
該鰭片可以多種方式塑型。於一特定範例實施例中,於一矽鰭片結構上生長一厚熱原生氧化質,矽鰭片結構產生了一幾何形狀,歸因於形成二氧化矽(SiO2)期間的矽耗損,在形狀處此該鰭片的頂部有效地受縮減或縮小。擴散層/鰭片之寬度以及長度的此縮減/縮小,局部地降低 該特定結構的崩潰電壓,因而提供根據本發明之一實施例的一加強發射抗熔絲元件。於其他實施例中,可例如藉由蝕刻或雷射修復,而提供該所欲鰭片形狀。於一更廣泛的意義上來說,任何合適的塑型技術將可選擇性地縮小該鰭片成為一可使用之所欲程度。
鰭式場效電晶體(FinFET)抗熔絲結構
圖2a與2b每一者例示根據本發明之一範例實施例所組配的FinFET抗熔絲電晶體結構之一立體圖。如顯示,每一結構一般包括標準電晶體節點,包括一閘極、閘極隔離介電質以及一擴散區域(用於源極與汲極區)。如先前所解釋者,裝置的導通通道係存在於在該閘極隔離介電質之下的該鰭片之外側上。一般來說,每一FinFET抗熔絲電晶體結構的崩潰電壓取決於該鰭片本身的厚度。在此意義上,顯示於圖2a的抗熔絲結構係組配有具有一非漸縮上部部分的一鰭片,且係具有一較高崩潰電壓,同時顯示於圖2b的結構係組配有具有一漸縮上部部分的一鰭片,且係具有一相對較低崩潰電壓。如在此解釋,漸縮的程度可受調整以提供所欲崩潰電壓。
該擴散材料可為任何合適的半導體材料,諸如,例如,矽或矽化鍺。源極和汲極區可如典型的做法而受摻雜。舉例而言,在若干情況下,該源極和汲極區可使用一植入/擴散程序或一蝕刻/沉積程序其中一者而形成。在前者的程序中,如硼、鋁、銻、磷,或砷摻雜劑可為離子植入進入基板,以形成源極和汲極區。該離子植入程序之 後通常是一退火程序,其活化該摻雜劑且使它們進一步擴散進入基板。在後者的程序中,可首先蝕刻該基板,以於源極和汲極區的位置形成凹部。然後可接著完成磊晶沉積程序,以將該凹部填滿矽合金,諸如矽化鍺或碳化矽,從而形成該等源極和汲極區。在若干實現中,該磊晶地沉積的矽合金可原位地摻雜有,諸如硼、砷、或磷等摻雜劑。在進一步的實現中,可將可替代材料,諸如鍺或III-V族材料或合金,沉積入該凹部,以形成該等源極和汲極區。例如,閘極隔離介電質可為任何合適的氧化物,諸如二氧化矽(SiO2)或高K值(high-k)閘極介電質材料。高K值閘極介電質材料的範例包括,舉例而言,二氧化鉿、氧化鉿矽、氧化鑭、氧化鑭鋁、氧化鋯、氧化矽鋯、氧化鉭、氧化鈦、氧化鋇鍶鈦、氧化鋇鈦、氧化鍶鈦、氧化釔、氧化鋁、鉛鈧鉭氧化物以及鈮鋅酸鉛。於若干實施例中,當使用一高K值材料時,可於該閘極介電層完成一退火程序以增進其品質。於若干特定範例實施例中,該高K值閘極介電質層可具有5Å至約100 Å(例如10 Å)之範圍的一厚度。於其他實施例中,該閘極介電質層可具有氧化物材料之一個單層的厚度。一般來說,該閘極介電質層的厚度應足以將該閘極電極電性地隔離於鄰近的源極與汲極接觸點,直到到達所欲崩潰(或編程)電壓。在若干實施例中,也可對高K值閘極介電質層執行額外的程序,諸如用以改善該高K值材料的品質之一退火程序。該閘極材料可例如為多晶矽、氮化矽、碳化矽、或一金屬層(例如:鎢、氮化鈦、鉭、氮化鉭),然而,亦可 使用其它合適的閘極電極材料。在若干範例實施例中,該閘極材料可為一犧牲材料,其係於之後針對一替代金屬閘極(RMG)程序而被去除,且其具有10Å到500Å的範圍之一厚度(例如,100Å)。每一個閘極隔離介電質以及閘極材料,可例如使用傳統沉積程序來沉積,諸如化學氣相沉積(CVD)、原子層沉積(ALD)、旋塗式沉積(SOD)、或物理氣相沉積(PVD)。亦可使用替代沉積技術,舉例而言,該閘極隔離介電質與該閘極材料可以為熱生長。基於此揭露,可以理解任何數量的其他合適的材料、幾何形狀、和形成程序可用於實現本發明的一個實施例,以便提供如在此描述之一增強的抗熔絲的設備。
在一個範例性實施例中,藉由執行圖2a所示的鰭片的氧化,及在閘極形成前,可以創造圖2b所示的漸縮鰭片結構。該鰭片/擴散層的縮小(例如:歸因於在氧化程序期間的矽耗損)在該鰭片的頂部創造一發射點。在其他範例性實施例中,漸縮鰭片結構係藉由在該鰭片的至少一部分可擇地蝕刻(例如:濕及/或乾蝕刻程序)所提供。於若干範例性實施例中,較為突出的鰭片佈局有效地降低崩潰電壓20%或者更多,其轉化成為在較低電壓/功率時產生的高抗熔絲陣列。可使用一掃描型電子顯微鏡(SEM)或透射電子顯微鏡(TEM)的橫截面來顯示如在此描述提供增強崩潰性能之塑形鰭片佈局。
在系統性地降低鰭片寬度處收集實驗數據。此數據係反映在圖3a中,這展示了根據本發明的範例實施例 之FinFET抗熔絲電晶體結構的崩潰電壓,係如何可藉由該鰭片佈局而調變。正如可以看到的,圖3a顯示電晶體崩潰電壓單調性的降低,從最寬鰭片處(具有大約3.5伏特的平均崩潰電壓之最右群組)至最窄鰭片處(具有大約2.8伏特的平均崩潰電壓之最左群組)。在此等範例中,觀察到用以使該閘極與基板短路之所需電壓,大約為20%的下降度。此局部性的降低崩潰電壓可用以加強氧化抗熔絲陣列可編程性,且降低電路負荷而建構一記憶體陣列。
圖3b至3d例示根據本發明之一範例實施例所組配之範例FinFET抗熔絲電晶體結構佈局。如可以看見的,每一鰭片具有一漸縮部分,其係從最淺溝槽隔離處(STI)延伸,每一漸縮部分包括一基底區以及一薄化區。顯示於圖3b之該FinFET抗熔絲電晶體結構具有一薄化區,其約較該基底區薄50%。如更進一步的可以看見,顯示於圖3c之該FinFET抗熔絲電晶體結構具有一薄化區,其約較該基底區薄75%,且顯示於圖3d之該FinFET抗熔絲電晶體結構具有一薄化區,其約較該基底區薄90%(或更多)。在此等範例中,該漸縮的彎曲本質通常為用以導致薄化之熱氧化程序的功能。於其他實施例中,該漸縮可為更加地突出或有角度的,諸如當係由另外由一蝕刻程序形成或精製。氧化及/或蝕刻程序之一組合,可用以提供任何數量的所欲鰭片形狀(諸如,鰭片的中央部分處為薄化的沙漏形狀,沿著該鰭片有數個薄化點的一珠鏈狀形狀,等等)。
因此,本發明的一實施例允許一可縮放、低功 率、非依電性的抗熔絲記憶體元件,可整合成為,例如一個高K值/金屬閘極非平面CMOS程序技術。舉例而言,在需要一非依電性記憶體元件處之任何數量的應用時可使用此一實施例。在一個更廣泛的意義上,本發明的一實施例可用於使用一抗熔絲元件之任何積體電路應用。
方法論
圖4例示用以製造根據本發明的一範例實施例之一FinFET抗熔絲電晶體結構的一方法。圖5至圖16顯示將參照於該方法之對應結構。基於此揭露許多改變將為顯而易見,且主張的發明不易欲限制在任何特定程序或組態。
該方法包括形成一個或多個鰭片,以及用隔離介電質(例如,二氧化矽)填充401所得到的溝槽。可以多種方式進行鰭片和隔離介電質之形成。在一範例性實施例中,該等鰭片和隔離介電質係如圖5至圖10之顯示而受形成,每一者顯示一橫切面側視圖,其中該切面係與該鰭片平行。可以於圖5看出,提供有一基板。該基板可例如為一空白基板,其係準備用於藉由形成一些鰭片結構於其上之隨後的半導體程序。可替代地,該基板可為一部分地形成有半導體結構於其之上的基板,舉例而言,汲極、源極以及閘極區係藉由使用至少一鰭片結構所形成。可在此使用任何數量之合適基板,包括大塊基板、隔離基板(氧化X,X可為諸如矽、鍺或者鍺濃化矽的半導體材料)上之半導體、以及多層結構,且特別是在一隨後閘極圖樣化程序之前,有鰭片形成於其之上的該等基板。於一特定範例案例中,該基板 為一矽大塊基板。於其他實施中,該半導體基板可使用其他替代材料形成,其可或可不與矽結合,此替代材料包括但不限定於鍺、銻化銦、碲化鉛、砷化銦、磷化銦、砷化鎵、或銻化鎵。也可以使用更進一步地被分類至III-V族或IV族的材料以形成該基板。雖然在此描述了幾個可以形成該基板的材料的範例,可以做為在其上建構半導體裝置的基底之任何材料,皆落入本請求發明的精神與範圍。
圖6例示在圖5的基板上沉積與圖樣化一硬遮罩。此可使用標準的光學微影來完成,光學微影包括沉積或多個硬遮罩材料(例如,諸如二氧化矽、氧化氮及/或其他合適之硬遮罩材料)、在該硬遮罩的一部份上圖樣化光阻,其將暫時地停留,以保護該鰭片的一底層區(諸如一電晶體裝置的一擴散或活化區)、蝕刻以移除該硬光罩(例如,使用一乾蝕刻或其他合適硬遮罩移除程序)未受遮蔽部分(無光阻),且接著剝除該圖樣化後光阻材料,因而留下如顯示之該圖樣化後遮罩。於一特定範例實施例中,該結果之硬遮罩為一標準的雙層硬遮罩,組配有氧化質之一底層以及氮化矽之一頂層,且包括有三個位置(在此範例案例中,用以提供三個鰭片),但在其他實施例中,可取決於特定將製造的活化裝置,而不同地組配該硬遮罩。於具有一矽基板的一特定範例實施例中,該硬遮罩係以原生氧化質的一底層(矽基板的氧化)以及氮化矽的一頂層來實施。可使用任何數量的硬遮罩組配係顯而易見的。
如圖7中可以看見,淺溝槽係蝕刻進入該基板的 未受遮蔽部分。該淺溝槽蝕刻可以標準光學微影來完成,光學微影包括濕或乾蝕刻、或如所欲的蝕刻之一組合。可以理解溝槽的幾何形狀(寬度、深度、形狀等等)可於一個到下一個實施例中改變,且請求發明不欲限定於任何特定溝槽幾何形狀。於具有一矽基板以及實施為一底層氧化與一頂氮化矽層之一雙層硬遮罩的一特定範例實施例中,使用乾蝕刻以形成溝槽,其在該基板的頂表面約100 Å至500 Å之下。可使用任何數量的溝槽組配係顯而易見的。
如圖8中可以看見,使用任何數量的標準沉積程序,而接著將該溝槽以一隔離介電質材料填滿。於具有一矽基板的一特定範例實施例中,該隔離介電質材料為二氧化矽,但在此可使用任何數量合適的隔離介電質以形成淺溝槽隔離(STI)結構。一般來說,用以填充溝槽之隔離介電質的沉積或其他生長可受選擇,例如,基於與該基板材料的原生氧化層的相容性。注意,該閘極溝槽本質可為圓形或者多邊形,且任何提及溝槽「側邊」係指任何此等組態,且不應解釋為意指一個特定的幾何形狀結構。舉例而言,溝槽側邊可指圓形溝槽上、或者一多邊形溝槽的一不連續側上的不同位置、或甚至是一多邊形溝槽的一不連續側的不同位置。於一個更廣泛的意義上,溝槽「表面」係指所有此等溝槽側面以及該溝槽的基底(底部)。
圖9展示了如何使用例如,CMP或其他可平面化該結構之合適程序,而使隔離介電質平面化。於該特定範例實施例中顯示,該硬遮罩可完全地移除,特別是在意欲 做為如在此描述的抗熔絲元件的該鰭片之上。然而,注意,此等抗熔絲元件可用在意欲做為傳統電晶體(具有預期用途的電晶體,其不包括超出於該電晶體的崩潰電壓)之功能的其他結構的接合處。對於此等鰭片,可以完成平面化而留下該硬遮罩的一部分,其可用做為閘極鈍化層或氧化層。
圖10展示了該結構如何進一步的圖形化,因此在該等STI中的該隔離介電質材料可受蝕刻,以便使該STI隔離介電質材料凹陷於該鰭片結構之下。此等凹陷區提供隔離予該電晶體的該等源極/汲極區。該結果結構可包括任何數量的鰭片(一個或多個),以任何合適的隔離介電質材料隔離。
描述於圖5至10之此範例鰭片結構,係使用如傳統地做法以光學微影製造。於其他實施例中,注意,如往往的做法,該等鰭片可磊晶地生長,諸如描述於美國專利公開案第2008/0157130號,標題為「用於FinFET裝置之鰭片的磊晶製造」。在此等案例中,該鰭片係有效地在該製造程序中形成為一層。藉由形成一鰭片層,鰭片厚度係透過用以形成該鰭片層的程序參數(而非受光學微影)的控制而決定。舉例而言,若該鰭片係以磊晶程序生長,該鰭片的厚度將藉由該磊晶成長動態而受決定。FinFET的鰭片寬度係透過層的形成而非光學微影所決定,其可提供改良的最小特徵尺寸以及封裝密度。在其他實施例中,可藉由切除或剝蝕移除材料,例如使用雷射或可以精細切割半導體材 料的其他合適工具,而製造該等鰭片。所得鰭片的幾何形狀通常取決於使用的形成技術、以及基底區的所欲的厚度而有不同。
一旦如以上所述或者其他合適程序形成該等鰭片,以沉積403一鈍化層至鰭片以及隔離介電質上而繼續圖4的方法,一如最佳地顯示於圖11的範例所示。該鈍化層材料係用以保護該基板材料免於氧化期間之耗損,且係可例如為一氧化物或氮化物。該鈍化層材料可使用CVD、ALD、SOD或PVD或可為熱生長。於一特定範例實施例中,該鈍化層材料為氧化矽或者氮化矽的一CVD層,其具有約5nm至10nm範圍內的一厚度,然而,可以理解可以使用適於在後續程序期間保護該底部基版的任何厚度。
如圖12中最佳地顯示,該方法接著圖樣化與蝕刻405該鈍化層以暴露一個或多個鰭片,其係將用以形成抗熔絲裝置。如此範例實施例中可看見,一蝕刻方塊(光阻)係提供在將受保護免於鈍化蝕刻的區域。該蝕刻方塊可例如使用標準平板印刷術而提供。於一此等案例中,微影程序通常包括在該鈍化層的一表面上形成一光阻層,且接著放置一遮罩於該受光阻塗覆區。該遮罩係以鉻之非可透光(不透明)區以及石英之可透光(透明)區來組配。來自一光源(例如:紫外線或深紫外線等等)且經由一光學透鏡系統聚焦的輻射,係接著施加至該遮罩。該光通過該透明遮罩區且將底部光阻層曝光,且光係阻絕於該不透明遮罩區,以留下光阻層未受曝光的底部部分。取決於使用的該特定程序, 可接著移除該光阻層的曝光或非曝光區其中一者,因而在該鈍化層上留下一圖樣化光阻層,其接著允許該鈍化層(於此案例中,蝕刻)的後續處理。該鈍化層蝕刻可例如使用乾或濕蝕刻而完成,以移除該未受阻絕鈍化層材料(例如:氧/氮)層。因此,在此範例案例中,在此鈍化蝕刻之後,若干鰭片維持由鈍化層保護,且該等鰭片可後續地用於一非抗熔絲用途。於其他實施例中,如果需要的話所有此等鰭片可用作為抗熔絲。於此等實施例中,注意一鈍化層(例如,如圖4於403與405處描)之該沉積、圖型化以及蝕刻必要時可移除或者省略。
一旦完成鰭片上的任何所欲圖樣化,該方法可以繼續而塑型該鰭片以提供增強發射點的區域,其係適用於較低電壓非依電性抗熔絲記憶體元件。在此範例實施例中,此塑型係藉進行407一熱氧化程序,以消耗鰭片材料且提供如最佳地顯示於圖13之該漸縮鰭片形狀而完成。可以使用任何合適的氧化程序,且氧化參數將於從一個至另一個案例改變。舉例而言,該氧化可在約500℃至1100℃之範圍的溫度,僅使用氧(乾氧化)或氧氣和氫氣(濕氧化)而完成。工作期間係取決於所欲鰭片厚度,且對於極薄膜(例如,50Å至500 Å)在低溫下(例如,500℃至800℃)短至數分鐘,以及對於厚膜(例如,500Å至1000 Å)在高溫下(例如,800℃至1100℃)長至數小時。壓力亦可以改變,且在任何地方為0.1至25大氣壓力的範圍內。於一特定範例案例中,具有原始厚度約100Å至150Å之一矽鰭片的氧化矽,係在大 氣壓力下以大約800℃的溫度、使用乾氧化約30分鐘而完成,以提供具有約95Å之一基底部分以及約10Å至15Å之一薄化區(未算入氧化層的厚度,其後續地經由一蝕刻程序移除)的一漸縮鰭片部分。注意消耗氧化層將不會在鈍化表面上生長,且僅將在該曝光鰭片結構上生長。該以氧化為基礎之生長將消耗鰭片材料(例如,矽或矽化鍺),產生一漸縮鰭片形狀。記得可以提供有任何數量的漸縮型狀。
接著如圖14中所顯示,以剝除或者移除409任何遺留的鈍化層以及氧化層(使用濕及/或乾蝕刻程序)而繼續此範例實施例的方法。基於此揭露將可以理解,於其他實施例中,注意氧化層及/或該鈍化層可以同樣但不一定為同樣的材料而實施(例如,氧化矽或者其他原生氧化物)。更進一步地注意,如果需要的話,氧化層及/或該鈍化層可遺留在做為閘極氧化物之功能的地方(在某些案例中,可能會較需要移除此等層且沉積一高K值介電閘極介電質)。
假設該氧化與鈍化層已移除,如圖15最佳地顯示,此範例實施例之方法可更進一步地包括在鰭片提供411一所欲隔離介電質層。於一範例案例中,該隔離介電質材料為一氧化物,其為沉積或生長其中一者,諸如二氧化矽、或者一高K值氧化物、或兩者之複合層。可以使用任何合適之介電質材料。如圖16顯示,以標準程序以及裝置製造而接著繼續該方法,其可更進一步的包括在該隔離介電質上提供413閘極材料。
基於此揭露,任何數量的改變將為顯而易見。 舉例而言,於其他實施例中,該鰭片塑型可以蝕刻或其他合適的鰭片切割/塑型(剝蝕、選擇性磊晶生長)程序而完成。於此等案例中,氧化將是不必要的。可替代地,可使用氧化以及其他塑型程序(例如:蝕刻、剝蝕及/貨選擇性磊晶生長)之一組合。
範例系統
圖17例示根據本發明之一實施例而組配的一計算裝置1000。可以看到,該計算裝置1000容裝有一主機板1002。該主機板1002可包括一些組件,包括但不限定於一處理器1004以及至少一通訊晶片1006,其每一者可實體地或電性地耦接至該主機板1002、或者是整合於其內。可以理解該主機板1002可例如為任何印刷電路板,不管是一主板或安裝於一主板上的一子板,或者為該裝置1000之唯一板,等等。取決於其應用,計算裝置1000可包括一或多個其他組件,其可為或者可不為實體地或電性地耦接至該主機板1002。此等其他組件可包括但不限定於依電性記憶體(例如,DRAM),非依電性記憶體(例如,ROM),一圖形處理器、一數位訊號處理器、一密碼處理器、一晶片組、一天線、一顯示器、一觸控螢幕顯示器、一觸控螢幕控制器、一電池、一音訊解碼器、一視訊解碼器、一功率放大器、一全球定位系統(GPS)裝置、一指南針、一加速度器、一陀螺儀、一揚聲器、一攝影機、以及一大容量儲存裝置(諸如硬碟驅動機、光碟(CD)、數位多功能光碟(DVD)以及等等)。含括於該計算裝置1000內的任何組件可包括一或多個 在此描述之FinFET抗熔絲電晶體結構。舉例而言,該等抗熔絲結構可用以實施非依電性記憶體、校準或客製化的一信號路徑、一致能/失效信號、或用以選擇已經通過一個晶載性能測試的多個多餘電路(諸如在晶片上所提供之多數多餘電路上以增進產率)的一電路。在若干實施例中,數個功能可以整合到一個或多個晶片(例如,舉例而言,注意通訊晶片1006可以是處理器1004的一部分,或以其他方式整合入該處理器1004)。
通訊晶片1006致能用以轉移資料至計算裝置100或來自計算裝置100的無線通訊。用字「無線」及其衍生詞可用以描述電路、裝置、系統、方法、技術、通訊通道等等,其可透過使用經一非實體介質,而調變電磁輻射而通訊資料。該用字並不意味著相關聯的設備不包含任何線路,雖然在若干實施例中,他們可能沒有不包含任何線路。通訊晶片1006可以實現任何的若干無線標準或協定,包括但不限於Wi-Fi(IEEE802.11系列)、WiMAX(IEEE 802.16系列)、IEEE 802.20、長期演進技術(LTE)、EV-DO、HSPA+、HSDPA、HSUPA+、EDGE、GSM、GPRS、CDMA、TDMA、DECT、藍牙、他們的衍生物,以及任何其他指定為3G、4G、5G以及超出的無線協定。該計算裝置1000可包括多個通訊晶片1006。舉例而言,一第一通訊晶片1006可以專用於較短範圍的無線通訊,諸如Wi-Fi和藍牙,且一第二通訊晶片1006可以專用於較長範圍的無線通訊,諸如、GPS、EDGE、GPRS、CDMA、WiMAX和LTE、EV-DO以 及其他通訊。
計算裝置1000的處理器1004包括封裝於該處理器1004內的一個積體電路晶粒。在本發明的若干實施例中,該處理器之該積體電路晶粒包括一個機上依電性記憶體或快取記憶體及/或以其他方式通信地耦合至晶片外記憶體,該晶片外記憶體係以在此描述之一個或多個FinFET抗熔絲電晶體結構所實施。用字「處理器」可指任何用以處理的裝置或一個裝置的一部分,舉例而言,來自暫存器及/或記憶體的電子資料,轉換可儲存於暫存器及/或記憶體的其他電子資料。
該通訊晶片1006亦可包括封裝於該通訊晶片1006內的一積體電路晶粒。根據若干此等範例實施例,該通訊晶片1006的該積體電路晶粒包括一或多個裝置,係以在此描述之FinFET抗熔絲電晶體結構而實施(例如,晶載記憶體及/或使用抗熔絲技術的其他晶載電路)。基於此揭露可以理解,注意多個標準無線性能可直接地整合入該處理器1004(例如,任何晶片1006的功能可整合進入處理器1004,而非具有分離的通訊晶片)。更需注意到處理器1004可為具有此等無線能力的一晶片組。簡言之,可使用任何數量的處理器1004及/或通訊晶片1006。同樣的,任何一晶片或晶片組可具有數種功能整合於其內。
在各種實現中,該計算裝置1000可為一膝上型電腦、一輕省筆電、一筆記型電腦、一智慧型電話、一平板電腦、一個人數位助理(PDA)、一超行動個人電腦、行動 電話、一桌上型電腦、一伺服器、一印表機、一掃描機、一監視器、一機上盒、一娛樂控制單元、一數位照相機、一可攜式音樂播放器、或一數位視訊記錄器。在進一步的實施方式中,該裝置1000可以是任何其他電子裝置,其處理資料或使用抗熔絲裝置。
在此描述的許多實施例與特徵可與任何數量的組態結合將為顯而易見的。本發明的一範例實施例提供一半導體抗熔絲裝置。該裝置包括具有組配有一漸縮部分之一鰭片的一非平面擴散區域、於含括有該漸縮部分之該鰭片上的一介電質隔離層、以及於該介電質隔離層上的一閘極材料。
於一此等案例中,該鰭片的該漸縮部分包括一基底區以及一薄化區,且該薄化區至少較該基底區薄50%。於另一此等案例中,該薄化區至少較該基底區薄75%。於另一此等案例中,該薄化區至少較該基底區薄90%。於若干案例中,該非平面擴散區域包括源極與汲極區。於若干案例中,含括有該等鰭片的該非平面擴散區域包含矽(例如,矽或矽化鍺,其可摻雜以提供源極與汲極區)。於若干案例中,該介電質隔離層包含一高K值介電質材料,且該閘極材料包含多晶矽或金屬。
於若干案例中,該漸縮部分包含多個薄化部分。於若干案例中,該漸縮部分係藉由使用氧化、蝕刻以及剝蝕的至少一者而形成。於若干案例中,該抗熔絲裝置包含一個三閘極或雙閘極FinFet佈局。另一實施例提供一電子裝 置,其包括具有一或多個積體電路的一印刷電路板,其中該一或多個積體電路的其中至少一者,包含如在此段中不同地描述之一或多個半導體抗熔絲裝置。於一個此等案例中,該一或多個積體電路包括一通訊晶片及/或一處理器的至少一者,且該通訊晶片及/或處理器的至少一者包含該一或多個半導體抗熔絲裝置。於另一此等案例中,該裝置為一計算裝置。
本發明的另一實施例提供一半導體裝置。該裝置包括至少一抗熔絲元件,包括具有組配有一漸縮部分之一鰭片的一非平面擴散區域、於含括該漸縮部分之該鰭片上的一介電質隔離層、以及於該介電質隔離層上的一閘極材料。該裝置更包括至少一電晶體元件,包括具有一非漸縮鰭片的一非平面擴散區。於若干案例中,該介電質隔離層亦位於該非漸縮鰭片上,且該閘極材料亦在該非漸縮鰭片的該介電質隔離層上。於若干案例中,該鰭片的該漸縮部分包括一基底區以及一薄化區,且該薄化區至少較該基底區薄50%。於其他此等案例中,該薄化區至少較該基底區薄75%。於其他此等案例中,該薄化區至少較該基底區薄90%。於若干案例中,該非平面擴散區域的每一者包括源極與汲極區。於若干案例中,含括有該等鰭片之該非平面擴散區域包含矽(例如,組成該擴散區域與鰭片的材料是相同材料,除了任何摻雜材料以及任何非實質殘餘材料或材料)。於若干案例中,該裝置包含多數抗熔絲元件及/或多數該等電晶體元件。於若干案例中,該漸縮部分包含多 個薄化部分。於若干案例中,該漸縮部分係藉由使用氧化、蝕刻以及剝蝕(例如溫度氧化加上後續的濕及/或乾蝕刻,以精製鰭片形狀而提供一所欲崩潰電壓)而形成。另一實施例提供一計算裝置(例如,智慧型手機或可攜式電腦),其包括具有一通訊晶片及/或一處理器的一印刷電路板,且該通訊晶片及/或處理器的至少一者包含如在此段中不同地描述之一或多個半導體裝置。
本發明的另一實施例提供一半導體記憶體裝置。該裝置包括具有多數個鰭片的一非平面擴散區域,該等鰭片的至少一者係組配有一漸縮部分以提供一抗熔絲元件。該裝置更包括於該等鰭片上的一介電質隔離層。該裝置更包括於該介電質隔離層上的一閘極材料。注意該裝置可包括抗熔絲元件、熔絲元件及/或電晶體元件,以及適用於一積體電路記憶體(例如,用以在高與額定電壓之間選擇之列與行選擇電路、感測/讀取電路以及功率選擇電路)之其他此等元件及電路。該裝置可被含括入,例如,一或多個積體電路,或一含有卡片附加電路,或設計以完成記憶體所需給定功能之一系統。另一實施例提供一計算裝置(例如,智慧型手機或平板電腦),其包括如在此段中不同地描述之半導體記憶體裝置。
本發明的範例性實施例已經於上述描述中,用於說明和描述的目的而呈現。其並非欲為徹底或者將本發明限制到所揭露的精確形式。基於本揭露,許多修改和變化是可能的。其意圖為本發明的範圍係非受限於此詳細的 描述,而是以附加的申請專利範圍而受限制。

Claims (24)

  1. 一種半導體抗熔絲裝置,其可藉施加一跨越該裝置之第一端與第二端之電壓而被短路,其包含:一大塊基板;在該基板上之一絕緣層;一鰭片,其自該基板延伸且通過該絕緣層至該鰭片的一頂部,該鰭片經組配具有一漸縮部分且包括一通道區,一源極區,以及一汲極區,其中,該漸縮部分包括一基底區,其自該絕緣層延伸且繼續至位於該鰭片的該頂部之一薄化區,該薄化區係較該基底區薄75%或更多;在該通道區上且於包括有該漸縮部分之該鰭片上的一介電質隔離層;一閘極材料,其於該介電質隔離層上且包括或電連接該裝置之該第一端;以及源極與汲極接觸點,其各別於源極區與汲極區上,該源極與汲極接觸點包括或電氣連接至該裝置之該第二端。
  2. 如申請專利範圍第1項之裝置,其中該鰭片的該漸縮部分具有自該基底區至該薄化區的一有角度之形狀。
  3. 如申請專利範圍第1項之裝置,其中該鰭片的該漸縮部分具有自 該基底區至該薄化區的一有弧度之形狀。
  4. 如申請專利範圍第1項之裝置,其中該鰭片的該漸縮部分包括一基底區以及一薄化區,且該薄化區至少較該基底區薄90%。
  5. 如申請專利範圍第1項之裝置,其中該源極區與汲極區包含矽與鍺中之至少一者。
  6. 如申請專利範圍第1項之裝置,其中該鰭片係該大塊基版之部分,且該鰭片為矽。
  7. 如申請專利範圍第1項之裝置,其中該介電質隔離層包含一高K值介電質材料,且該閘極材料包含多晶矽或金屬。
  8. 如申請專利範圍第1項之裝置,其中該漸縮部分包含數個薄化部分,該薄化部分中之每一者較該基底區薄75%或更多。
  9. 如申請專利範圍第1項之裝置,其中該漸縮部分係藉由氧化、蝕刻以及剝蝕中之至少一者所形成。
  10. 如申請專利範圍第1項之裝置,其中該抗熔絲裝置包含三閘極或雙閘極鰭式場效電晶體(FinFET)佈局的其中一者。
  11. 一種電子裝置,其包含:具有一或多個積體電路的一印刷電路板,其中該等一或多個積體電路中的至少一者包含如申請專利範圍第1項中界定的一或多個半導體抗熔絲裝置。
  12. 如申請專利範圍第11項之電子裝置,其中該等一或多個積體電路包括一通訊晶片及一處理器中的至少一者,且該通訊晶片及該處理器中的該至少一者包含該等一或多個半導體抗熔絲裝置。
  13. 如申請專利範圍第11項之電子裝置,其中該裝置為一行動計算裝置。
  14. 一種半導體裝置,其包含:至少一抗熔絲元件,其包括如申請專利範圍第1項中界定之該裝置;以及至少一電晶體元件,其包括另一鰭片,其自該基板延伸且通過該絕緣層至該另一鰭片之一頂部,其中該另一鰭片之該頂部比該漸縮部分之鰭片之該頂部厚。
  15. 如申請專利範圍第14項之裝置,其中該介電質隔離層係於該另一鰭片之一通道區上,且該閘極材料係位於在該另一鰭片上的該介電質隔離層上。
  16. 如申請專利範圍第14項之裝置,其中該另一鰭片包括源極區與汲極區。
  17. 如申請專利範圍第14項之裝置,其中該另一鰭片包含矽。
  18. 如申請專利範圍第14項之裝置,其中該裝置包含數個該等抗熔絲元件中至少一者以及數個該電晶體元件。
  19. 一種計算裝置,其包含:一印刷電路板,其具有一通訊晶片及一處理器中至少一者,且該通訊晶片及該處理器的該至少一者包含如申請專利範圍第14項中所界定之一或多個半導體裝置。
  20. 一種半導體記憶體裝置,其包含:一大塊基板;在該基板上之一絕緣層;數個鰭片,每一個鰭片自該基板延伸且通過該絕緣層至各自的鰭片的一頂部,且包括一通道區、一源極區、以及一汲極區,該等鰭片中的至少一者係組配有一漸縮部分以提供該抗熔絲元件,其中該漸縮部分包括一基底區,其自該絕緣層延伸且從該基底區繼續漸縮至位於該鰭片的該頂部之一薄化區,該薄化區係較該基底區薄75%或更多;一介電質隔離層,其於該各別通道區之每一者上且在該等鰭片上;一閘極材料,其於漸縮鰭片之該介電質隔離層上,且包括或電連接該抗熔絲元件之該第一端;以及用於該漸縮鰭片各別的源極區與汲極區之源極與汲極接觸點,該源極與汲極接觸點經由該抗熔絲元件之該第二端互相電氣 連接。
  21. 一種計算裝置,其包含如申請專利範圍第20項之半導體記憶體裝置。
  22. 一半導體抗熔絲裝置,其包含:一非平面擴散區域,其具有經組配以帶有一漸縮部分之一鰭片,以垂直於該鰭片之一剖面視之,該漸縮部分具有自漸縮部分之一基底至漸縮部分之一頂部變窄的一寬度,其中,在該頂部之寬度係較該基底之寬度薄50%或更多;一介電質隔離層在該漸縮部分之相對兩側且在該漸縮部分之該頂部;一第一導電接觸點,其在該介電質隔離層上,使得該介電質隔離層自該漸縮部分之該基底至該漸縮部分之該頂部被夾在該第一導電接觸點以及該漸縮部分之間;源極區以及汲極區,其在該非平面擴散區域中,且相鄰之一通道區也在該非平面擴散區域中且在該源極區與汲極區之間;及在該源極區以及汲極區上之一第二導電接觸點。
  23. 如申請專利範圍第22項之裝置,其中在該漸縮部分之該頂部之寬度係較該漸縮部分之該基底之寬度薄75%或更多。
  24. 如申請專利範圍第22項之裝置,其中該抗熔絲裝置之一程式化狀 態包括通過電氣連接該非平面擴散區域之該介電質隔離層及該第一導電接觸點之一低阻抗導電路徑,且其中響應於施加在跨越該第一導電接觸點與該第二導電接觸點之間之一程式化電壓,該介電質隔離層之崩潰在該漸縮部分之該頂部比起在該漸縮部分之該基底有一較大的發生機率。
TW101135911A 2011-10-18 2012-09-28 利用非平面佈局的抗熔絲元件 TWI570843B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/US2011/056760 WO2013058746A1 (en) 2011-10-18 2011-10-18 Antifuse element utilizing non-planar topology

Publications (2)

Publication Number Publication Date
TW201334119A TW201334119A (zh) 2013-08-16
TWI570843B true TWI570843B (zh) 2017-02-11

Family

ID=48141202

Family Applications (2)

Application Number Title Priority Date Filing Date
TW105143185A TWI632643B (zh) 2011-10-18 2012-09-28 利用非平面佈局的抗熔絲元件(二)
TW101135911A TWI570843B (zh) 2011-10-18 2012-09-28 利用非平面佈局的抗熔絲元件

Family Applications Before (1)

Application Number Title Priority Date Filing Date
TW105143185A TWI632643B (zh) 2011-10-18 2012-09-28 利用非平面佈局的抗熔絲元件(二)

Country Status (5)

Country Link
US (2) US9159734B2 (zh)
CN (1) CN104025293B (zh)
DE (1) DE112011105751B4 (zh)
TW (2) TWI632643B (zh)
WO (1) WO2013058746A1 (zh)

Families Citing this family (49)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE112011105751B4 (de) * 2011-10-18 2024-05-08 Intel Corporation Antifuse-Element unter Verwendung von nicht-planarer Topologie
US8969999B2 (en) * 2011-10-27 2015-03-03 Taiwan Semiconductor Manufacturing Company, Ltd. Fin-like field effect transistor (FinFET) based, metal-semiconductor alloy fuse device and method of manufacturing same
US8742457B2 (en) * 2011-12-16 2014-06-03 Taiwan Semiconductor Manufacturing Company, Ltd. Anti-fuses on semiconductor fins
US9041158B2 (en) * 2012-02-23 2015-05-26 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming fin field-effect transistors having controlled fin height
US9536883B2 (en) * 2012-07-12 2017-01-03 Broadcom Corporation Dual anti-fuse
CN103632967B (zh) * 2012-08-21 2016-03-16 中芯国际集成电路制造(上海)有限公司 一种半导体结构的形成方法
US9761595B2 (en) * 2013-02-21 2017-09-12 Infineon Technologies Ag One-time programming device and a semiconductor device
US9263282B2 (en) * 2013-06-13 2016-02-16 United Microelectronics Corporation Method of fabricating semiconductor patterns
DE112013007072T5 (de) * 2013-06-28 2016-01-28 Intel Corporation Nano-Strukturen und Nano-Merkmale mit Si (111)-Ebenen auf Si (100)-Wafer für III-N Epitaxie
CN104576380B (zh) * 2013-10-13 2017-09-15 中国科学院微电子研究所 一种finfet制造方法
WO2015099691A1 (en) * 2013-12-23 2015-07-02 Intel Corporation Advanced etching techniques for straight, tall and uniform fins across multiple fin pitch structures
US9324665B2 (en) * 2013-12-27 2016-04-26 Intel Corporation Metal fuse by topology
CN110610866B (zh) 2013-12-27 2023-05-30 英特尔公司 扩散的尖端延伸晶体管
US9515172B2 (en) 2014-01-28 2016-12-06 Samsung Electronics Co., Ltd. Semiconductor devices having isolation insulating layers and methods of manufacturing the same
US10020313B2 (en) 2014-02-11 2018-07-10 Intel Corporation Antifuse with backfilled terminals
WO2015142847A1 (en) * 2014-03-17 2015-09-24 Tufts University Integrated circuit with multi-threshold bulk finfets
CN104979362B (zh) 2014-04-10 2019-11-19 三星电子株式会社 具有翅片式有源图案和栅极节点的半导体装置
WO2015171147A1 (en) * 2014-05-08 2015-11-12 Intel Corporation Necked interconnect fuse structure for integrated circuits
US9524986B2 (en) * 2014-06-26 2016-12-20 Globalfoundries Inc. Trapping dislocations in high-mobility fins below isolation layer
KR102206396B1 (ko) * 2014-06-27 2021-01-22 인텔 코포레이션 비선형 핀 기반의 디바이스
CN106537600B (zh) 2014-08-19 2021-03-30 英特尔公司 具有空隙加速击穿的mos反熔丝
KR102179169B1 (ko) 2014-09-02 2020-11-18 삼성전자주식회사 반도체 장치 및 반도체 장치의 제조방법
KR102191221B1 (ko) * 2014-09-23 2020-12-16 삼성전자주식회사 저항 소자 및 이를 포함하는 반도체 소자
CN105514162B (zh) * 2014-09-26 2018-08-10 中芯国际集成电路制造(上海)有限公司 鳍式场效应晶体管及其形成方法
KR102245133B1 (ko) 2014-10-13 2021-04-28 삼성전자 주식회사 이종 게이트 구조의 finFET를 구비한 반도체 소자 및 그 제조방법
US10164108B2 (en) 2014-10-17 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor (FinFET) device and method for forming the same
US9666716B2 (en) 2014-12-15 2017-05-30 Sang U. Kim FinFET transistor
US9659766B2 (en) * 2014-12-19 2017-05-23 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming semiconductor structure with etched fin structure
US11205707B2 (en) 2014-12-22 2021-12-21 Intel Corporation Optimizing gate profile for performance and gate fill
KR102352155B1 (ko) * 2015-04-02 2022-01-17 삼성전자주식회사 반도체 소자 및 그 제조방법
US20160343719A1 (en) * 2015-05-22 2016-11-24 Globalfoundries Singapore Pte. Ltd. Interposers for integrated circuits with one-time programming and methods for manufacturing the same
US9660025B2 (en) * 2015-08-31 2017-05-23 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device structure
US9659785B2 (en) 2015-09-01 2017-05-23 International Business Machines Corporation Fin cut for taper device
US10032914B2 (en) 2015-10-20 2018-07-24 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10109364B2 (en) * 2015-10-21 2018-10-23 Avago Technologies General Ip (Singapore) Pte. Ltd. Non-volatile memory cell having multiple signal pathways to provide access to an antifuse of the memory cell
US10290634B2 (en) * 2016-01-20 2019-05-14 Globalfoundries Inc. Multiple threshold voltages using fin pitch and profile
US9768231B2 (en) * 2016-02-12 2017-09-19 Globalfoundries Singapore Pte. Ltd. High density multi-time programmable resistive memory devices and method of forming thereof
US10008507B2 (en) 2016-04-14 2018-06-26 International Business Machines Corporation Metal FinFET anti-fuse
WO2017213647A1 (en) 2016-06-09 2017-12-14 Intel Corporation Quantum dot devices with back gates
US9852982B1 (en) * 2016-06-22 2017-12-26 Globalfoundries Inc. Anti-fuses with reduced programming voltages
US10109740B2 (en) 2016-07-18 2018-10-23 International Business Machines Corporation Programmable bulk FinFET antifuses
US10229919B2 (en) 2016-08-25 2019-03-12 International Business Machines Corporation Vertical field effect transistor including integrated antifuse
US9831254B1 (en) 2016-09-22 2017-11-28 International Business Machines Corporation Multiple breakdown point low resistance anti-fuse structure
US10629752B1 (en) * 2018-10-11 2020-04-21 Applied Materials, Inc. Gate all-around device
US10840148B1 (en) 2019-05-14 2020-11-17 International Business Machines Corporation One-time programmable device compatible with vertical transistor processing
US10957701B1 (en) * 2019-11-11 2021-03-23 Globalfoundries U.S. Inc. Fin-based anti-fuse device for integrated circuit (IC) products, methods of making such an anti-fuse device and IC products comprising such an anti-fuse device
US11574867B2 (en) * 2020-11-25 2023-02-07 Globalfoundries U.S. Inc. Non-planar silicided semiconductor electrical fuse
US11843035B2 (en) * 2020-12-21 2023-12-12 Micron Technology, Inc. Transistor interface between gate and active region
CN116471841A (zh) * 2022-01-10 2023-07-21 长鑫存储技术有限公司 半导体结构及其制造方法

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050282342A1 (en) * 2004-06-22 2005-12-22 Adan Alberto O Field effect transistor and fabrication method thereof
US20060084247A1 (en) * 2004-10-20 2006-04-20 Kaiping Liu Transistors, integrated circuits, systems, and processes of manufacture with improved work function modulation
WO2009130629A1 (en) * 2008-04-23 2009-10-29 Nxp B.V. A fin fet and a method of manufacturing a fin fet

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5557136A (en) 1991-04-26 1996-09-17 Quicklogic Corporation Programmable interconnect structures and programmable integrated circuits
US5572062A (en) 1994-03-31 1996-11-05 Crosspoint Solutions, Inc. Antifuse with silicon spacers
TW347587B (en) 1997-10-20 1998-12-11 United Semiconductor Corp Antifuse structure and process for producing the same
US6130469A (en) 1998-04-24 2000-10-10 International Business Machines Corporation Electrically alterable antifuse using FET
DE60236375D1 (de) * 2002-12-20 2010-06-24 Ibm Integrierte anitfuse-struktur für finfet- und cmos-vorrichtungen
US20050095763A1 (en) 2003-10-29 2005-05-05 Samavedam Srikanth B. Method of forming an NMOS transistor and structure thereof
KR100610496B1 (ko) * 2004-02-13 2006-08-09 삼성전자주식회사 채널용 핀 구조를 가지는 전계효과 트랜지스터 소자 및 그제조방법
US7355233B2 (en) * 2004-05-12 2008-04-08 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for multiple-gate semiconductor device with angled sidewalls
US7569443B2 (en) 2005-06-21 2009-08-04 Intel Corporation Complementary metal oxide semiconductor integrated circuit using raised source drain and replacement metal gate
US20070029576A1 (en) 2005-08-03 2007-02-08 International Business Machines Corporation Programmable semiconductor device containing a vertically notched fusible link region and methods of making and using same
FR2898214B1 (fr) 2006-03-01 2008-05-16 Commissariat Energie Atomique MICROSTRUCTURE POUR LA FORMATION D'UN SUBSTRAT EN SILICIUM ET GERMANIUM SUR ISOLANT ET DE TYPE Si1-xGex
US7566949B2 (en) 2006-04-28 2009-07-28 International Business Machines Corporation High performance 3D FET structures, and methods for forming the same using preferential crystallographic etching
FR2905197B1 (fr) 2006-08-25 2008-12-19 Commissariat Energie Atomique Procede de realisation d'un dispositif comportant une structure dotee d'un ou plusieurs micro-fils ou nano-fils a base d'un compose de si et de ge, par condensation germanium.
US8017463B2 (en) 2006-12-29 2011-09-13 Intel Corporation Expitaxial fabrication of fins for FinFET devices
US20090152589A1 (en) * 2007-12-17 2009-06-18 Titash Rakshit Systems And Methods To Increase Uniaxial Compressive Stress In Tri-Gate Transistors
US8101471B2 (en) * 2008-12-30 2012-01-24 Intel Corporation Method of forming programmable anti-fuse element
CA2692887C (en) * 2009-02-27 2011-04-12 Sidense Corp. Low power antifuse sensing scheme with improved reliability
DE112011105751B4 (de) 2011-10-18 2024-05-08 Intel Corporation Antifuse-Element unter Verwendung von nicht-planarer Topologie
US9431497B2 (en) 2013-05-21 2016-08-30 Globalfoundries Singapore Pte. Ltd. Transistor devices having an anti-fuse configuration and methods of forming the same

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050282342A1 (en) * 2004-06-22 2005-12-22 Adan Alberto O Field effect transistor and fabrication method thereof
US20060084247A1 (en) * 2004-10-20 2006-04-20 Kaiping Liu Transistors, integrated circuits, systems, and processes of manufacture with improved work function modulation
WO2009130629A1 (en) * 2008-04-23 2009-10-29 Nxp B.V. A fin fet and a method of manufacturing a fin fet

Also Published As

Publication number Publication date
DE112011105751T5 (de) 2014-09-18
US9159734B2 (en) 2015-10-13
TW201731027A (zh) 2017-09-01
DE112011105751B4 (de) 2024-05-08
CN104025293A (zh) 2014-09-03
US9748252B2 (en) 2017-08-29
TWI632643B (zh) 2018-08-11
US20160035735A1 (en) 2016-02-04
CN104025293B (zh) 2018-06-08
WO2013058746A1 (en) 2013-04-25
TW201334119A (zh) 2013-08-16
US20130270559A1 (en) 2013-10-17

Similar Documents

Publication Publication Date Title
TWI570843B (zh) 利用非平面佈局的抗熔絲元件
TWI599042B (zh) 自對準閘極邊緣和局部互連結構及其製造方法
TWI565075B (zh) 具有摻雜次鰭區的ω鰭的非平面半導體裝置及其製造方法
TWI567940B (zh) Cmos相容複晶矽化物熔絲結構及其製造方法
TWI608621B (zh) 有頂阻擋層的具有自對準鰭部的非平面半導體裝置
KR20150130270A (ko) 하드마스크 층들을 이용한 나노 와이어 트랜지스터 제조
US11715737B2 (en) Metal fuse and self-aligned gate edge (SAGE) architecture having a metal fuse
KR20210078390A (ko) 채널 대 기판 전기적 접촉을 갖는 디바이스를 가지는 게이트 올 어라운드 집적 회로 구조체
WO2020061892A1 (en) Semiconductor device and method of fabrication thereof
KR102439623B1 (ko) 안티퓨즈 프로그래밍 전압의 제어된 수정을 위한 방법, 안티퓨즈 회로, 및 컴퓨팅 시스템
US10510662B2 (en) Vertically oriented metal silicide containing e-fuse device and methods of making same
TW201721808A (zh) 在短通道互補金屬氧化物半導體(cmos)晶片上的用於低洩漏的應用的長通道金屬氧化物半導體(mos)電晶體
KR20230043688A (ko) 금속 함유 소스 또는 드레인 구조를 갖는 집적 회로 구조
KR20230042627A (ko) 유전체 게이트 벽 및 유전체 게이트 플러그를 갖는 집적 회로 구조
KR20210078389A (ko) 소스/드레인 대 기판 전기적 접촉을 갖는 디바이스를 가지는 게이트 올 어라운드 집적 회로 구조체
KR20230096846A (ko) 기판 연결 부분을 갖는 소스 또는 드레인 구조를 구비한 게이트-올-어라운드 집적 회로 구조
KR20230094963A (ko) 중앙 부분이 재성장된 소스 또는 드레인 구조를 갖는 게이트 올 어라운드 집적 회로 구조
KR20230091776A (ko) 유전체 앵커 및 한정된 에피택셜 소스 또는 드레인 구조를 갖는 집적 회로 구조
TW202414827A (zh) 半導體結構及系統晶片(SoC)積體電路及其製造方法