KR20230091776A - 유전체 앵커 및 한정된 에피택셜 소스 또는 드레인 구조를 갖는 집적 회로 구조 - Google Patents

유전체 앵커 및 한정된 에피택셜 소스 또는 드레인 구조를 갖는 집적 회로 구조 Download PDF

Info

Publication number
KR20230091776A
KR20230091776A KR1020220152652A KR20220152652A KR20230091776A KR 20230091776 A KR20230091776 A KR 20230091776A KR 1020220152652 A KR1020220152652 A KR 1020220152652A KR 20220152652 A KR20220152652 A KR 20220152652A KR 20230091776 A KR20230091776 A KR 20230091776A
Authority
KR
South Korea
Prior art keywords
gate
dielectric
integrated circuit
layer
anchor
Prior art date
Application number
KR1020220152652A
Other languages
English (en)
Inventor
레오나르드 피 굴러
타히르 가니
찰스 에이치 월리스
모히트 케이 하란
모하마드 하산
아리얀 나바비-쉬라지
앨런 비 가디너
Original Assignee
인텔 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 인텔 코포레이션 filed Critical 인텔 코포레이션
Publication of KR20230091776A publication Critical patent/KR20230091776A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78606Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device
    • H01L29/78618Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device characterised by the drain or the source properties, e.g. the doping structure, the composition, the sectional shape or the contact structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/392Floor-planning or layout, e.g. partitioning or placement
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/394Routing
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/398Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823418MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823481MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2111/00Details relating to CAD techniques
    • G06F2111/20Configuration CAD, e.g. designing by assembling or positioning modules selected from libraries of predesigned modules
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823412MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Ceramic Engineering (AREA)
  • Theoretical Computer Science (AREA)
  • Nanotechnology (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • General Engineering & Computer Science (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Materials Engineering (AREA)
  • Computer Networks & Wireless Communication (AREA)
  • Architecture (AREA)
  • Mathematical Physics (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Thin Film Transistor (AREA)

Abstract

유전체 앵커 및 한정된 에피택셜 소스 또는 드레인 구조를 갖는 집적 회로 구조, 및 유전체 앵커 및 한정된 에피택셜 소스 또는 드레인 구조를 갖는 집적 회로 구조를 제조하는 방법이 설명된다. 예를 들어, 집적 회로 구조는 얕은 트렌치 격리(STI) 구조에 서브-핀을 포함한다. 수평으로 적층된 복수의 나노와이어가 서브-핀 위에 있다. 게이트 유전체 재료층이 수평으로 적층된 복수의 나노와이어를 둘러싼다. 게이트 전극 구조가 게이트 유전체 재료층 위에 있다. 한정된 에피택셜 소스 또는 드레인 구조가 수평으로 적층된 복수의 나노와이어의 끝에 있다. 유전체 앵커가 수평으로 적층된 복수의 나노와이어로부터 측방향으로 이격되고 STI 구조의 제1 부분 내로 리세스되며, 이 유전체 앵커는 한정된 에피택셜 소스 또는 드레인 구조의 최상부 표면 아래의 최상부 표면을 갖는다.

Description

유전체 앵커 및 한정된 에피택셜 소스 또는 드레인 구조를 갖는 집적 회로 구조{INTEGRATED CIRCUIT STRUCTURES HAVING DIELECTRIC ANCHOR AND CONFINED EPITAXIAL SOURCE OR DRAIN STRUCTURE}
본 개시의 실시예는 집적 회로 구조 및 처리 분야, 특히 유전체 앵커 및 한정된(confined) 에피택셜 소스 또는 드레인 구조를 갖는 집적 회로 구조, 및 유전체 앵커 및 한정된 에피택셜 소스 또는 드레인 구조를 갖는 집적 회로 구조를 제조하는 방법 분야에 관한 것이다.
지난 수십 년 동안, 집적 회로의 피처(features)의 스케일링은 계속 성장하는 반도체 산업의 원동력이 되어왔다. 점점 더 작은 피처로 축소하면 반도체 칩의 제한된 공간에서 기능 단위의 밀도를 높일 수 있다. 예를 들어, 트랜지스터 크기를 줄이면 칩에 더 많은 수의 메모리 또는 로직 장치를 통합할 수 있고, 그에 따라 용량이 증가된 제품을 제조할 수 있다. 그러나, 더 많은 용량을 추진하는 데에는 문제가 없는 것은 아니다. 각 장치의 성능을 최적화해야 할 필요성이 점점 더 중요해지고 있다.
집적 회로 장치의 제조에서, 장치 치수가 계속해서 축소됨에 따라 3중 게이트 트랜지스터와 같은 다중 게이트 트랜지스터가 더 널리 퍼졌다. 기존 프로세스에서, 3중 게이트 트랜지스터는 일반적으로 벌크 실리콘 기판 또는 실리콘 온 인슐레이터 기판에 제조된다. 몇몇 경우에는, 벌크 실리콘 기판이 더 저렴하고 덜 복잡한 3중 게이트 제조 공정을 가능하게 하기 때문에 선호된다. 또 다른 양태에서, 마이크로전자 장치 치수가 10나노미터(nm) 노드 아래로 축소됨에 따라 이동성 개선 및 단채널 제어를 유지하는 것은 장치 제조에 있어 도전을 제공한다. 장치 제조에 사용되는 나노와이어는 향상된 단채널 제어를 제공한다.
그러나, 다중 게이트 및 나노와이어 트랜지스터를 스케일링하는 것은 결과가 없는 것은 아니다. 마이크로전자 회로의 이러한 기본 빌딩 블록의 치수가 줄어들고 주어진 영역에서 제조되는 기본 빌딩 블록의 순수한 개수가 증가함에 따라, 이러한 빌딩 블록을 패턴화하는 데 사용되는 리소그래피 프로세스에 대한 제약이 압도적으로 커졌다. 특히, 반도체 스택에서 패터닝된 피처의 가장 작은 치수(임계 치수)와 이러한 피처 간의 간격 사이에는 절충이 있을 수 있다.
도 1a 내지 도 1f는 본 개시의 실시예에 따른, 선택적 유전체 앵커 제거를 사용하여 집적 회로 구조를 제조하는 방법에서의 다양한 작업을 나타내는 단면도를 예시한다.
도 1g 내지 도 1i는 본 개시의 실시예에 따른, 유전체 앵커 및 한정된 에피택셜 소스 또는 드레인 구조를 갖는 집적 회로 구조를 제조하는 방법에서의 다양한 작업을 나타내는 단면도를 예시한다.
도 1j는 본 개시의 실시예에 따른, 유전체 앵커 및 한정된 에피택셜 소스 또는 드레인 구조를 갖는 집적 회로 구조의 (i) 게이트 컷(gate cut) 및 (ii) 소스 또는 드레인 컷을 각각 나타내는 단면도를 예시한다.
도 1k 내지 도 1n은 본 개시의 다른 실시예에 따른, 유전체 앵커 및 한정된 에피택셜 소스 또는 드레인 구조를 갖는 집적 회로 구조를 제조하는 다른 방법에서의 다양한 작업을 나타내는 각진 단면도를 예시한다.
도 1o는 본 개시의 실시예에 따른, 유전체 앵커 및 한정된 에피택셜 소스 또는 드레인 구조를 갖는 집적 회로 구조를 나타내는 단면도를 예시한다.
도 2a 내지 도 2c는 본 개시의 실시예에 따른, 유전체 앵커 상에 랜딩된(landed) 금속 게이트 플러그를 갖는 집적 회로 구조를 제조하는 방법에서의 다양한 작업을 나타내는 단면도를 예시한다.
도 3은 본 개시의 실시예에 따른, 유전체 앵커 상에 랜딩된 금속 게이트 플러그를 갖는 집적 회로 구조의 단면도를 예시한다.
도 4는 본 개시의 다른 실시예에 따른, 유전체 앵커 상에 랜딩된 금속 게이트 플러그를 갖는 다른 집적 회로 구조의 단면도를 예시한다.
도 5는 나노와이어 및 컷팅된 금속 게이트 유전체 플러그를 갖는 집적 회로 구조의 단면도를 예시한다.
도 6은 본 개시의 실시예에 따른, 나노와이어 및 컷팅된 금속 게이트 유전체 플러그를 갖는 집적 회로 구조의 단면도를 예시한다.
도 7a 내지 도 7j는 본 개시의 실시예에 따른, 게이트-올-어라운드 집적 회로 구조를 제조하는 방법에서의 다양한 작업의 단면도를 예시한다.
도 8은 본 개시의 실시예에 따른, 게이트 라인을 따라 취해진 비평면 집적 회로 구조의 단면도를 예시한다.
도 9는 비-게이트 컷 랜딩 구조 아키텍처에 대한 나노와이어 및 핀(fin)을 통해 취한 단면도를 예시한다.
도 10은 본 개시의 실시예에 따른, 게이트 컷 랜딩 구조 아키텍처의 단면도를 예시한다.
도 11a는 본 개시의 실시예에 따른, 나노와이어 기반 집적 회로 구조의 3차원 단면도를 예시한다.
도 11b는 본 개시의 실시예에 따른, a-a' 축을 따라 취해진, 도 11a의 나노와이어 기반 집적 회로 구조의 단면 소스 또는 드레인 뷰를 예시한다.
도 11c는 본 개시의 실시예에 따른, b-b' 축을 따라 취해진, 도 11a의 나노와이어 기반 집적 회로 구조의 단면 채널 뷰를 예시한다.
도 12는 본 개시의 실시예의 일 구현에 따른 컴퓨팅 장치를 예시한다.
도 13은 본 개시의 하나 이상의 실시예를 포함하는 인터포저를 예시한다.
유전체 앵커 및 한정된 에피택셜 소스 또는 드레인 구조를 갖는 집적 회로 구조, 및 유전체 앵커 및 한정된 에피택셜 소스 또는 드레인 구조를 갖는 집적 회로 구조를 제조하는 방법이 설명된다. 다음 설명에서, 본 개시의 실시예의 완전한 이해를 제공하기 위해 특정 통합 및 재료 체제와 같은 수 많은 특정 세부사항이 설명된다. 본 개시의 실시예가 이러한 특정 세부사항 없이도 실시될 수 있음이 당업자에게 명백할 것이다. 다른 예에서, 집적 회로 설계 레이아웃과 같은 잘 알려진 특징은 본 개시의 실시예를 불필요하게 모호하게 하지 않기 위해 상세하게 설명되지 않는다. 또한, 도면에 도시된 다양한 실시예는 예시적인 표현이며 반드시 축척에 맞게 그려진 것은 아님을 이해해야 한다.
특정 용어는 참조의 목적으로만 다음 설명에서 사용될 수 있으며, 따라서 제한하려는 의도는 없다. 예를 들어, "상부", "하부", "위" 및 "아래"와 같은 용어는 참조되는 도면의 방향을 나타낸다. "전면", "후면", "후방" 및 "측면"과 같은 용어는 논의 중인 컴포넌트를 설명하는 텍스트 및 관련 도면을 참조하면 명확해지는, 일관되지만 임의적인 참조 프레임 내에서 컴포넌트의 부분들의 방향 및/또는 위치를 설명한다. 이러한 용어는 위에서 구체적으로 언급된 단어, 그 파생어 및 유사한 의미의 단어를 포함할 수 있다.
본 명세서에 설명된 실시예는 FEOL(front-end-of-line) 반도체 처리 및 구조에 관한 것일 수 있다. FEOL은 개별 장치(예컨대, 트랜지스터, 커패시터, 저항기 등)가 반도체 기판 또는 층에 패턴화되는 집적 회로(IC) 제조의 제1 부분이다. FEOL은 일반적으로 금속 상호연결 층의 증착까지(포함하지는 않음) 모든 것을 커버한다. 마지막 FEOL 작업 후, 결과는 일반적으로 (예컨대, 어떤 와이어도 없는) 절연된 트랜지스터를 갖는 웨이퍼이다.
본 명세서에 설명된 실시예는 BEOL(back-end-of-line) 반도체 처리 및 구조에 관한 것일 수 있다. BEOL은 개별 장치(예컨대, 트랜지스터, 커패시터, 저항기 등)가 웨이퍼 상의 배선, 예컨대, 금속화 층 또는 층들과 상호 연결되는 IC 제조의 제2 부분이다. BEOL는 칩-패키지 연결을 위한 접촉부, 절연층(유전체), 금속 레벨 및 본딩 사이트를 포함한다. 제조 단계의 BEOL 부분에서, 접촉부(패드), 상호연결 와이어, 비아 및 유전체 구조가 형성된다. 최신 IC 프로세스의 경우, BEOL에서 10개 초과의 금속 층이 추가될 수 있다.
후술하는 실시예는 FEOL 처리 및 구조, BEOL 처리 및 구조, 또는 FEOL 및 BEOL 처리 및 구조 모두에 적용될 수 있다. 특히, FEOL 처리 시나리오를 사용하여 예시적인 처리 방식이 설명될 수 있지만, 이러한 접근 방식은 BEOL 처리에도 적용될 수 있다. 마찬가지로, 예시적인 처리 방식이 BEOL 처리 시나리오를 사용하여 설명될 수 있지만, 이러한 접근 방식은 FEOL 처리에도 적용될 수 있다.
본 명세서에 기술된 하나 이상의 실시예는 게이트 엔드-투-엔드 프로세스 마진(gate end-to-end process margin)을 개선하기 위한 자체 정렬 앵커의 형성에 관한 것이다. 본 명세서에 설명된 하나 이상의 실시예는 그러한 앵커를 갖는, 예를 들어 소스 또는 드레인 구조의 에피택셜 성장 제한에 관한 것이다. 본 명세서에 기술된 하나 이상의 실시예는 이러한 앵커 중 특정 앵커의 선택적 제거에 관한 것이다. 본 명세서에 설명된 하나 이상의 실시예는 감소된 종횡비, 예를 들어 상대적으로 더 짧은 컷/플러그를 갖는 컷 게이트를 구비한 집적 회로 구조에 관한 것이다. 본 명세서에 기술된 하나 이상의 실시예는 게이트 엔트-투-엔드 절연을 위해 컷 일함수 금속을 갖는 집적 회로 구조에 관한 것이다. 본 명세서에 설명된 하나 이상의 실시예는 게이트 엔트-투-엔드 절연을 위해 컷팅된 일함수 금속을 갖는 게이트 올 어라운드 장치에 관한 것이다. 달리 나타내지 않는 한, 본원에서 나노와이어에 대한 언급은 나노와이어 또는 나노리본을 나타낼 수 있음을 이해해야 한다. 본 명세서에 설명된 하나 이상의 실시예는 게이트 엔트-투-엔드 절연을 위해 컷팅된 일함수 금속을 갖는 FinFET 구조에 관한 것이다.
추가 맥락을 제공하기 위해, 에피택셜(EPI) 소스 또는 드레인 구조 단락(shorting)은 고성능 장치 구조를 스케일링하는데 있어서의 제한자일 수 있다. 본 개시의 하나 이상의 실시예에 따르면, 본 명세서에 설명된 프로세스 흐름은 EPI 단락 문제를 해결하기 위해 구현될 수 있다. 일 실시예에서, 프로세스 흐름은 EPI 한정과 함께 자체 정렬 게이트 단부를 결합한다.
추가 맥락을 제공하기 위해, 높은 종횡비의 게이트 플러그 에칭은 더 작은 엔드캡 및 좁은 엔드-투-엔드 설계 요구사항에서는 어려울 수 있다. 최첨단 접근 방식은 고급 기술 정의를 지원하기 위해 개선된 프로세스 기능과 제어를 요구하지만, 이를 위해서는 에칭/도구 혁신이 필요할 수 있다. 높은 종횡비의 에칭은 에칭 화학에 대해서는 근본적으로 어려울 수 있다.
본 개시의 하나 이상의 실시예에 따르면, 채널 사이의 자체 정렬 앵커(벽) 또는 랜딩될 엔드-투-엔드 플러그의 형성이 설명된다. 본 명세서에 설명된 실시예는 (i) 금속 게이트 증착 이전의 엔드-투-엔드 플러그 에칭 및/또는 (ii) 금속 게이트 증착 이후의 엔드-투-엔드 플러그 에칭에 적용될 수 있다. 하나 이상의 실시예는 더 나은 프로세스 제어 및 더 높은 수율을 보장하도록 엔드-투-엔드 플러그 에칭 프로세스의 요건을 완화하기 위해 구현될 수 있다. 게이트에서 컷팅된 핀의 라인 끝 TEM(end of line TEM)은 게이트 플러그 아래의 자체 정렬 벽 또는 앵커의 구현을 나타낼 수 있다. 일 실시예에서, 앵커는 게이트 내부에만 위치된다. 일 실시예에서, 게이트 스페이서 및 접촉 트랙은 연관된 앵커를 갖지 않는다.
추가 맥락을 제공하기 위해, 앵커를 원하는 위치에만 배치하는 것이 유리할 수 있다. 일 실시예에서, 하드마스크 층이 사용되어 선택 위치에서 앵커 스페이서 증착을 차단한다.
예시적인 처리 방식으로서, 도 1a 내지 도 1f는 본 개시의 실시예에 따른 선택적 유전체 앵커 제거를 사용하여 집적 회로 구조를 제조하는 방법에서의 다양한 작업을 나타내는 단면도를 예시한다. 설명되고 예시된 실시예는 또한 나노와이어 또는 나노리본의 스택 대신에 핀 구조에 적용될 수 있음을 이해해야 한다.
도 1a를 참조하면, 시작 구조(100)의 핀 컷(fin cut)이 도시되어 있다. 시작 구조(100)는 실리콘 산화물 또는 실리콘 산화물 격리 구조와 같은 격리 구조(106)를 통해 돌출하는 서브핀(104)을 갖는 실리콘 기판과 같은 기판(102)을 포함한다. 도시된 바와 같이, 실리콘 질화물 층과 같은 패시베이션 층이 격리 구조(106)와 서브핀(104) 사이에 포함될 수 있다. 핀(108)은 대응하는 서브핀(104) 상에 형성된다. 일 실시예에서, 각각의 핀(108)은 실리콘 나노와이어와 같은 복수의 나노와이어(110)를 포함한다. 각각의 핀(108)은 또한 복수의 나노와이어(110)와 교번하는 실리콘 게르마늄과 같은 희생 재료(112)를 포함한다. 특정 실시예에서, 각각의 핀(108)은 도시된 바와 같이 실리콘 질화물 캡과 같은 유전체 캡(109)을 더 포함한다. 실리콘 산화물 패시베이션 층과 같은 패시베이션 층(107)은 또한 도시된 바와 같이 각각의 핀(108)의 상부 및 측면을 따라 포함될 수 있다. 다시 도 1a를 참조하면, 앵커 스페이서 증착이 엔드캡 피쳐를 정의하기 위해 수행된다. 특정 실시예에서, 실리콘 산화물 또는 실리콘 이산화물 재료와 같은 희생 스페이서 재료(114)가 핀(108)과 등각으로 형성된다.
도 1b를 참조하면, 앵커 스페이서 에치백 이후의 핀 컷이 예시되어 있다. 희생 스페이서 재료(114)는 패턴화된 희생 스페이서 재료 부분(114A)을 형성하기 위해 이방성으로 에칭된다. 희생 스페이서 재료 부분(114A) 각각은 핀(108) 중 대응하는 것 위에 있다. 일 실시예에서, 에칭 프로세스는 도시된 바와 같이 패턴화된 격리 구조(106A)를 형성하기 위해 격리 구조(106) 내로 트렌치를 형성한다. 다시 도 1b를 참조하면, 탄소 기반 하드마스크 재료와 같은 앵커-차단 하드마스크 재료(116)가 핀(108) 중 선택 핀들 사이에 유지되도록 패터닝된다.
도 1c를 참조하면, 앵커 형성을 위한 재료 충진 후의 핀 컷이 도시되어 있다. 실리콘 산질화물 또는 실리콘 질화물 재료와 같은 하드마스크 재료(118)가 예를 들어 증착 및 평탄화 프로세스에 의해 인접한 패터닝된 희생 스페이서 재료 부분(114A) 사이에 형성된다. 그러나, 앵커 차단 하드마스크 재료(116)를 포함하는 위치는 희생 하드마스크 재료(118)로 채워지는 것을 방지한다.
도 1d를 참조하면, 앵커 형성 후의 핀 컷이 도시되어 있다. 하드마스크 재료(118)는 리세스된 하드마스크 재료(118A)를 형성하기 위해 예를 들어 에치백 프로세스에 의해 리세스된다.
도 1e를 참조하면, 앵커-차단 하드마스크 재료(116)가 도 1d의 구조로부터 제거된다. 앵커-차단 하드마스크 재료(116)의 제거는 앵커 공극(120)(예를 들어, 앵커 형성이 차단된 위치)을 형성한다.
도 1f를 참조하면, 패터닝된 희생 스페이서 재료 부분(114A)은 도 1e의 구조로부터 제거된다. 패턴화된 희생 스페이서 재료 부분(114A)의 제거는 앵커(122)를 형성하고 앵커 공극(120)을 남긴다. 일 실시예에서, 트렌치(124)는 도시된 바와 같이 각각의 앵커 공극(120)의 위치에서 패터닝된 격리 구조(106A)의 상부 표면 내에 남아 있다. 각 앵커(122)는 대응하는 패터닝된 격리 구조(106A) 부분 내로 리세스된 것으로 보여질 수 있다.
에피택셜 소스 또는 드레인 한정은 도 1f의 프로세스 흐름을 계속함으로써 달성될 수 있다. 예시적인 처리 방식으로서, 도 1g 내지 도 1i는 본 개시의 실시예에 따른, 유전체 앵커 및 한정된 에피택셜 소스 또는 드레인 구조를 갖는 집적 회로 구조를 제조하는 방법에서의 다양한 작업을 나타내는 단면도를 예시한다. 설명되고 예시된 실시예는 또한 나노와이어 또는 나노리본의 스택 대신에 핀 구조에 적용될 수 있음을 이해해야 한다. 다른 실시예에서, 에피택셜 소스 또는 드레인 한정은 또한 모든 앵커가 유지되는 구조(즉, 앵커 제거 작업이 사용되지 않는 경우)를 사용하여 달성될 수 있음을 이해해야 한다.
도 1g를 참조하면, 비정질 실리콘 라이너 층과 같은 라이너 층(158)이 도 1f의 구조 상에 형성된다. 게이트 또는 채널 영역이 페이지 안으로 있도록 도 1g 내지 도 1i는 핀(108)의 소스 또는 드레인 영역에서 도시되어 있음을 이해해야 한다. 패터닝층 또는 하드마스크(160)는 라이너 층(158) 상에 형성된다. 개구(162)는 핀(108) 중 선택된 핀을 노출시키기 위해 패터닝층 또는 하드마스크(160)에 형성된다. 핀들 중 노출된 선택 핀은 개구(162)를 통한 에칭에 의해 소스 또는 드레인 영역에서 제거된다.
도 1h를 참조하면, 패터닝 층 또는 하드마스크(160) 및 라이너 층(158)이 도 1g의 구조로부터 제거된다. 게이트 스페이서(164)를 형성하기 위해 스페이서 재료 증착 및 에치백 프로세스가 수행된다. 도시된 바와 같이, 스페이서 증착 이후 및 스페이서 에치백 전에 에칭 정지 재료(165)가 더 넓은 개구에서 형성될 수 있다. 스페이서 에치백 프로세스는 핀(108)의 상부 부분을 나타내는 게이트 스페이서(164)를 제공한다.
도 1i를 참조하면, 도 1h의 구조의 노출된 나머지 핀(108)은 소스 또는 드레인 공동을 형성하기 위해 소스 또는 드레인 영역에서 에칭된다. 에칭 정지 재료(165)가 사용되는 경우, 에칭 정지 재료(165)는 그 다음 제거된다. 그 다음, 에피택셜 소스 또는 드레인 구조(166)가 소스 또는 드레인 공동의 위치에 형성된다. 에피택셜 소스 또는 드레인 구조(166) 각각은 페이지 안으로 있는, 즉 게이트 또는 채널 영역에 있는 핀(108)과 연관된다는 것을 이해해야 한다. 일 실시예에서, 에피택셜 소스 또는 드레인 구조(166) 각각은 도시되어 있는 바와 같이, 에피택셜 소스 또는 드레인 구조(166)가 바로 이웃하는 에피택셜 소스 또는 드레인 구조와 병합(또는 단락)되지 않는다는 점에서, 한정된 또는 비-병합 에피택셜 소스 또는 드레인 구조이다. 에피택셜 성장에 이어, 실리콘 산화물 또는 실리콘 이산화물 층과 같은 유전체 층(168)이 에피택셜 소스 또는 드레인 구조(166) 위의 소스 또는 드레인 구조에 형성될 수 있다.
전술한 처리에 이어, 교체 게이트 및 나노와이어 릴리스 처리가 구현될 수 있다는 것을 이해해야 한다. 예로서, 도 1j는 본 개시의 실시예에 따라, 유전체 앵커 및 한정된 에피택셜 소스 또는 드레인 구조를 갖는 집적 회로 구조의 (i) 게이트 컷 및 (ii) 소스 또는 드레인 컷을 각각 나타내는 단면도를 도시한다. 설명되고 예시된 실시예는 또한 나노와이어 또는 나노리본의 스택 대신에 핀 구조에 적용될 수 있음을 이해해야 한다.
도 1j의 (i) 부분을 참조하면, 채널/게이트 위치(170)에서, 나노와이어(110)의 스택은 각각 대응하는 서브-핀(104) 위에 있고, 서브-핀(104)은 기판(102)으로부터 도출되고 얕은 트렌치 격리(STI) 구조(106) 내에 있다. 도시된 바와 같이, 유전체 캡(109)이 나노와이어(110)의 스택 각각 위에 포함될 수 있다. 게이트 유전체 층(124) 및 게이트 전극(126)은 나노와이어(110)의 스택 위에 있다. 유전체 앵커(122)는 나노와이어(110)의 스택들 중 선택 스택들 사이에 개재된다.
도 1j의 부분 (ii)를 참조하면, 소스 또는 드레인 위치(172)에서, 에피택셜 소스 또는 드레인 구조(166)는 각각 대응하는 서브-핀(104) 위에 있으며, 서브-핀(104)은 기판(102)으로부터 돌출하고 얕은 트렌치 격리(STI) 구조(106) 내에 있다. 유전체 앵커(122)는 에피택셜 소스 또는 드레인 구조(166) 중 선택 에피택셜 소스 또는 드레인 구조 사이에 개재된다. 에피택셜 소스 또는 드레인 구조(166) 각각은 영역(170)의 나노와이어(110)의 스택 중 대응하는 것의 단부에 있다. 그러나, 일 실시예에서, 예를 들어, 도 1g 및 도 1h와 관련하여 설명된 프로세스의 결과로서, 영역(170)의 나노와이어(110) 스택 모두에 대해 에피택셜 소스 또는 드레인 구조(166)가 존재하는 것은 아니다. 일 실시예에서, 에피택셜 소스 또는 드레인 구조(166) 각각은 도시된 바와 같이, 에피택셜 소스 또는 드레인 구조(166)가 바로 이웃하는 에피택셜 소스 또는 드레인 구조와 병합(또는 단락)되지 않는다는 점에서, 한정된 또는 비-병합 에피택셜 소스 또는 드레인 구조이다. 게이트 스페이서 재료(164)는 또한 도시된 바와 같이 에피택셜 소스 또는 드레인 구조(166)를 두고 개재될 수 있다. 소스 또는 드레인 위치에서의 후속 처리는 에피택셜 소스 또는 드레인 구조(166) 중 하나 이상에 결합된 전도성 트렌치 접촉 구조를 형성하기 위해 선택 위치에서의 유전체 층(168)의 제거를 포함할 수 있다는 것을 이해해야 한다.
에피택셜 한정 접근법은 에피 위치를 건너뛰지 않는 구조에 사용될 수 있다. 예시적인 처리 방식으로서, 도 1k 내지 도 1n은 본 개시의 다른 실시예에 따른, 유전체 앵커 및 한정된 에피택셜 소스 또는 드레인 구조를 갖는 집적 회로 구조를 제조하는 다른 방법에서의 다양한 작업을 나타내는 각진 단면도를 도시한다. 설명되고 예시된 실시예는 또한 나노와이어 또는 나노리본의 스택 대신에 핀 구조에 적용될 수 있음을 이해해야 한다.
도 1k를 참조하면, 시작 구조(180)는 서브-핀(104) 위의 복수의 핀(108)(예를 들어, 나노와이어 및 개재 희생 재료를 포함함)을 포함하고, 서브-핀(104)은 유전체 구조(106)에 있고 기판(102)으로부터 돌출되어 있다. 유전체 앵커(122)는 핀(108) 중 특정 핀 사이에 삽입된다. 더미 게이트(182) 및 하드마스크(184)를 갖는 더미 게이트 구조와 같은 게이트 구조(182/184)는 핀(108) 위에 형성된다. 게이트 스페이서-형성 재료(164)는 핀(108), 게이트 구조(182/184) 및 유전체 앵커(122) 위에 있다.
도 1l을 참조하면, 금속 하드마스크 또는 헬멧과 같은 국부적 하드마스크 또는 헬멧(186)이 게이트 구조(182/184)의 상부 위치에서 게이트 스페이서-형성 재료(164) 상에 형성된다. 게이트 스페이서-형성 재료(164)는 그 다음 소스 또는 드레인 위치에서 핀(108)의 상부를 드러내도록 에칭된다.
도 1m을 참조하면, 소스 또는 드레인 영역에서 핀(108)의 부분들은 그 다음 소스 또는 드레인 공동(186)을 형성하도록 에칭 프로세스에 의해 제거된다.
도 1n을 참조하면, 에피택셜 소스 또는 드레인 구조(166)가 소스 또는 드레인 공동(186)에 형성된다. 실시예에서, 에피택셜 소스 또는 드레인 구조(166) 각각은 도시된 바와 같이, 에피택셜 소스 또는 드레인 구조(166)가 바로 이웃하는 에피택셜 소스 또는 드레인 구조와 병합(또는 단락)되지 않는다는 점에서, 한정된 또는 비-병합 에피택셜 소스 또는 드레인 구조이다. 도 1n의 구조의 추가 처리는 더미 게이트 형성, 게이트 스페이서 형성, 나노와이어 릴리스, 및 영구 게이트 구조 형성을 포함할 수 있음을 이해해야 한다.
도 1n의 구조는 상이한 위치에서 비교될 수 있다. 예로서, 도 1o는 본 개시의 실시예에 따른, 유전체 앵커 및 한정된 에피택셜 소스 또는 드레인 구조를 갖는 집적 회로 구조를 나타내는 단면도(190)를 예시한다. 설명되고 예시된 실시예는 또한 나노와이어 또는 나노리본의 스택 대신에 핀 구조에 적용될 수 있음을 이해해야 한다.
도 1o를 참조하면, 도 1n의 구조의 뷰(192)는 소스 또는 드레인 영역에서의 컷(194) 및 게이트 영역에서의 컷(196)과 비교된다. 패터닝된 핀(108A), 유전체 앵커(122), 에피택셜 소스 또는 드레인 구조(166), 게이트 구조(182), 및 게이트 스페이서(164) 사이의 관계가 도시되어 있다.
도 1f(또는 1i 또는 1n)의 구조의 추가 처리는 더미 게이트 형성, 게이트 스페이서 형성, 나노와이어 릴리스, 및 영구 게이트 구조 형성을 포함할 수 있다는 것을 이해해야 한다. 일 양태에서, 금속 게이트 컷팅 프로세스는 게이트 유전체 및 일함수 금속 증착 및 패터닝을 완료한 후에 구현된다. 금속 게이트 프로세스는 돌출된 게이트 컷 랜딩 구조 또는 유전체 앵커 상에 랜딩할 수 있으므로, 게이트 스택의 전체 높이를 통한 게이트 컷에 비해 게이트 컷 깊이가 감소한다. 예로서, 도 2a 내지 도 2c는 본 개시의 실시예에 따른, 유전체 앵커 상에 랜딩된 금속 게이트 플러그를 갖는 집적 회로 구조를 제조하는 방법에서의 다양한 작업을 나타내는 단면도를 예시한다. 설명되고 예시된 실시예는 또한 나노와이어의 스택 대신에 핀 구조에 적용될 수 있음을 이해해야 한다.
도 2a를 참조하면, 도 1f(또는 1i 또는 1n) 및 도 2a 사이의 처리는 폴리실리콘 더미 게이트 패터닝과 같은 더미 게이트 패터닝을 포함할 수 있다. 실리콘 질화물 게이트 스페이서와 같은 게이트 스페이서가 더미 게이트의 측면을 따라 형성된다. 더미 게이트를 제거하면 게이트 스페이서(222)가 드러난다(하나의 게이트 스페이서는 페이지 안으로 도시되고 다른 게이트 스페이서는 페이지 밖에 있다는 것을 이해해야 한다). 도 2a의 도면에서, 핀(208)은 유전체 앵커(230)를 따라 도시되어 있다.
도 2b를 참조하면, 나노와이어(210)를 릴리스하기 위해 (게이트 아래의) 채널 영역의 핀(208)으로부터 희생 재료가 제거된다. 도시된 바와 같이, 그런 다음, 릴리스된 나노와이어(208) 및 가능하게는 유전체 앵커(230) 상에, 고유전율(high-k) 게이트 유전체 재료와 같은 게이트 유전체 재료(232)가 형성된다.
도 2c를 참조하면, 금속 게이트 증착 및 엔트-투-엔드 플러그 에칭 후의 게이트 내의 핀 컷(fin cut in gate)이 도시되어 있다. 금속 함유 층의 스택과 같은 게이트 전극 구조(234)가 도 2b의 구조 상에 형성된다. 도 2b에 도시된 게이트 스페이서(222)는 게이트 전극 구조(234)의 어느 한 면(즉, 페이지 내부 및 외부)에 있다는 것을 이해해야 한다. 구조(250)를 제공하기 위해 게이트 전극 구조(234) 내에 그리고 가능하게는 게이트 유전체 재료(232) 내에 게이트 컷(236)이 형성된다.
후속 처리는 게이트 컷(236)을 채워 게이트 플러그를 형성하는 것, 예를 들어 유전체 앵커 상에 랜딩된 금속 게이트 플러그를 제공하는 것을 포함할 수 있다. 일 실시예에서, 유전체 앵커 상에 랜딩된 게이트 플러그의 설명은 유전체 앵커 상의 게이트 유전체 층(예를 들어, 232) 상에 또는 그 내에 형성된 게이트 플러그를 포함하는 구조를 지칭한다. 다른 실시예에서, 유전체 앵커 상에 랜딩된 게이트 플러그에 대한 설명은 유전체 앵커와 직접 접촉하며 형성된 게이트 플러그를 포함하는 구조를 지칭한다. 후자의 실시예의 일 예에서, 게이트 플러그는 유전체 앵커 상의 게이트 유전체 층(예를 들어, 232)을 완전히 관통하며 형성된다. 후자의 실시예의 다른 예에서, 게이트 유전체 층은 유전체 앵커 상에 형성되지 않는다.
다른 양태에서, 게이트 플러그는 정렬될 수 있거나(예를 들어, 도 3) 자체 정렬되지 않을 수 있다(예를 들어, 도 4). 어느 경우이든, 실시예에서, 앵커는 2개의 트랜지스터의 중간에 있다.
예에서, 도 3은 본 개시의 실시예에 따른, 유전체 앵커 상에 랜딩된 금속 게이트 플러그를 갖는 집적 회로 구조의 단면도를 예시한다. 설명되고 예시된 실시예는 또한 나노와이어의 스택 대신에 핀 구조에 적용될 수 있음을 이해해야 한다.
도 3을 참조하면, 집적 회로 구조(300)는 격리 구조(306)에 서브-핀(304)을 갖는 기판(302)을 포함한다. 나노와이어의 스택(312)은 각각의 서브핀(304) 위에 있다. 게이트 유전체 층(314)은 나노와이어(312)의 스택 주변에 있다. 유전체 앵커(310)는 나노와이어(312)의 두 스택 사이에 있다. 도시된 바와 같이, 게이트 유전체 층(314)은 또한 유전체 앵커(310) 상에 있을 수 있다. 게이트 전극(316)은 게이트 유전층(314) 위에 있다. 게이트 컷 플러그(318)가 게이트 전극(316)의 두 부분 사이에 있다. 일 실시예에서, 게이트 컷 플러그(318)는 도시된 바와 같이 유전체 앵커(310)와 수직으로 정렬된다.
일 실시예에서, 유전체 앵커(310)는 격리 구조(306)의 최상부 표면 아래의 최하부 표면을 갖는다. 다른 실시예에서, 유전체 앵커(310)는 나노와이어(312)의 스택의 최상부 표면 아래의 최상부 표면을 갖는다. 실시예에서, 유전체 앵커(310)는 나노와이어(312)의 스택의 최상부 표면과 동일 평면에 있거나 그 위에 있는 최상부 표면을 갖는다.
다른 예에서, 도 4는 본 개시의 다른 실시예에 따른, 유전체 앵커 상에 랜딩된 금속 게이트 플러그를 갖는 다른 집적 회로 구조의 단면도를 예시한다. 설명되고 예시된 실시예는 또한 나노와이어의 스택 대신에 핀 구조에 적용될 수 있음을 이해해야 한다.
도 4를 참조하면, 집적 회로 구조(400)는 격리 구조(406)에 서브-핀(404)을 갖는 기판(402)을 포함한다. 나노와이어(412)의 스택은 각각의 서브-핀(404) 위에 있다. 나노와이어(412)의 스택 주위에 게이트 유전체 층(414)이 있다. 유전체 앵커(410)가 나노와이어(412)의 두 스택 사이에 있다. 게이트 유전체 층(414)은 도시된 바와 같이 유전체 앵커(410) 상에 더 있을 수 있다. 게이트 전극(416)은 게이트 유전체 층(414) 위에 있다. 게이트 컷 플러그(418)가 게이트 전극(416)의 두 부분 사이에 있다. 일 실시예에서, 게이트 컷 플러그(418)는 도시된 바와 같이 유전체 앵커(410)와 수직으로 정렬되어 있지 않다(즉, 오프셋되어 있다).
일 실시예에서, 유전체 앵커(410)는 격리 구조(406)의 최상부 표면 아래의 최하부 표면을 갖는다. 다른 실시예에서, 유전체 앵커(410)는 나노와이어(412)의 스택의 최상부 표면 아래의 최상부 표면을 갖는다. 다른 실시예에서, 유전체 앵커(410)는 나노와이어(412) 스택의 최상부 표면과 동일 평면에 있거나 그 위에 있는 최상부 표면을 갖는다.
다시 도 3 및 도 4를 참조하면, 본 개시의 실시예에 따르면, 집적 회로 구조(300 또는 400)는 STI(Shallow Trench Isolation) 구조(306 또는 406)에 서브-핀(304 또는 404)을 포함한다. 수평으로 적층된 복수의 나노와이어(312 또는 412)가 서브-핀(304 또는 404) 위에 있다. 게이트 유전체 재료 층(314 또는 414)은 수평으로 적층된 나노와이어를 둘러싸고 있다. 게이트 전극 구조(316 또는 416)는 게이트 유전체 재료 층(314 또는 414) 위에 있다. 유전체 앵커(310 또는 410)는 수평으로 적층된 복수의 나노와이어(312 또는 412)로부터 측방향으로 이격된다. 일 실시예에서, 유전체 앵커(310 또는 410)는 도 3 및 4에 도시된 바와 같이, STI 구조(306 또는 406)의 최상부 표면 아래의 최하부 표면을 갖는다. 유전체 게이트 플러그(318 또는 418)는 유전체 앵커(310 또는 410) 상에 있다.
일 실시예에서, 유전체 앵커(310 또는 410)는 도 3 및 도 4에 도시된 바와 같이, 수평으로 적층된 복수의 나노와이어(312 또는 412)의 최상부 표면 아래의 최상부 표면을 갖는다. 다른 실시예에서, 유전체 앵커(310 또는 410)는 수평으로 적층된 복수의 나노와이어(312 또는 412)의 최상부 표면과 동일 평면에 있거나 그 위에 있는 최상부 표면을 갖는다.
일 실시예에서, 유전체 게이트 플러그(318)는 도 3에 도시된 바와 같이 유전체 앵커(310)와 수직으로 온셋(on-set)된다. 다른 실시예에서, 유전체 게이트 플러그(418)는 도 4에 도시된 바와 같이 유전체 앵커(410)로부터 수직으로 오프셋된다.
일 실시예에서, 게이트 유전 재료층(314 또는 414)은 고유전율 게이트 유전체 층이다. 실시예에서, 게이트 전극 구조(316 또는 416)는 일함수 금속층 및 전도성 게이트 충진 재료를 포함한다.
일 실시예에서, 게이트 유전체 재료층(314 또는 414)은 도 3 및 4에 도시된 바와 같이 유전체 게이트 플러그(318 또는 418)의 측면을 따르지 않는다. 이러한 일 실시예에서, 게이트 전극 구조(316 또는 416)는 도 3 및 4에 도시된 바와 같이 유전체 게이트 플러그(318 또는 418)의 측면과 접촉한다.
다른 양태에서, 본 명세서에 설명된 접근 방식을 구현하는 이점은 게이트 격리를 위한 감소된 깊이의 게이트 컷을 포함할 수 있다. 본 명세서에 설명된 접근 방식을 구현하는 이점은, 게이트 유전체 층(예컨대, 고유전율 게이트 유전체 층)이 게이트 플러그 측벽에 증착되지 않아 일함수 금속 증착을 위한 추가의 공간을 효과적으로 절약할 수 있는 소위 "플러그-라스트(plug-last)" 접근 방식도 포함할 수 있다. 대조적으로, 금속 게이트 충진 재료는 소위 기존의 "플러그-우선" 접근 방식 동안 플러그와 핀 사이에 끼일 수 있다. 금속 충진을 위한 공간은 후자의 접근 방식에서 플러그 오정렬(mis-registration)로 인해 더 좁아질 수 있으며, 금속 충진 중에 공극을 야기할 수 있다. 본 명세서에 기술된 실시예에서, "플러그-라스트(plug-last)" 접근 방식을 사용하여, 일함수 금속 증착은 이음매가 없을 수 있다(예를 들어, 공극이 없음).
본 개시의 하나 이상의 실시예에 따르면, 집적 회로 구조는 유전체 게이트 플러그와 게이트 금속 사이에 깔끔한 인터페이스를 갖는다. 많은 실시예가 플러그-라스트 접근 방식과 같은, 본 명세서에 설명된 접근 방식으로부터 이점을 얻을 수 있다는 것을 이해해야 한다. 예를 들어, FinFET 장치에 대해 금속 게이트 컷을 구현할 수 있다. GAA(Gate All Around) 장치에 대해 금속 게이트 컷 방식이 구현될 수 있다.
게이트 컷 랜딩 구조를 포함하지 않는 비교예로서, 도 5는 나노와이어 및 컷팅된 금속 게이트 유전체 플러그를 갖는 집적 회로 구조의 단면도를 도시한다.
도 5를 참조하면, 집적 회로 구조(550)는 STI(Shallow Trench Isolation) 구조(554) 위로 돌출된 부분을 갖는 서브-핀(552)을 포함한다. 수평으로 적층된 복수의 나노와이어(555)가 서브-핀(552) 위에 있다. 고유전율 게이트 유전체 층과 같은 게이트 유전체 재료층(556)은 서브-핀(552)의 돌출 부분 위에 있고, STI 구조(554) 위에 있으며, 수평으로 적층된 나노와이어(555)를 둘러싼다. 도시되지 않았지만, 서브-핀(552)의 산화된 부분은 서브-핀(552)의 돌출 부분과 게이트 유전체 재료층(556) 사이에, 그리고 수평으로 적층된 나노와이어(555)와 게이트 유전체 재료층(556) 사이에 있을 수 있고, 게이트 유전체 재료층(556)과 함께 포함되어 게이트 유전체 구조를 형성한다. 일함수 금속층과 같은 전도성 게이트 층(558)은 게이트 유전체 재료층(556) 위에 있고, 도시된 바와 같이 게이트 유전체 재료층(556) 바로 위에 있을 수 있다. 전도성 게이트 충진 재료(560)는 전도성 게이트 층(558) 위에 있고, 도시된 바와 같이 전도성 게이트 층(558) 바로 위에 있을 수 있다. 유전체 게이트 캡(562)은 전도성 게이트 충진 재료(560) 상에 있다. 유전체 게이트 플러그(564)는 서브-핀(552) 및 수평으로 적층된 복수의 나노와이어(555)로부터 측방향으로 이격되어 있고, STI 구조(554) 상에 있을 뿐 이를 관통하지는 않는다. 그러나, 게이트 유전체 재료 층(556) 및 전도성 게이트 층(558)은 유전체 게이트 플러그(564)의 측면을 따라 있지 않다. 대신, 전도성 게이트 충진 재료(560)는 유전체 게이트 플러그(564)의 측면과 접촉한다. 그 결과, 유전체 게이트 플러그(564)와, 서브-핀(552)과 수평으로 적층된 복수의 나노와이어(555)의 조합 사이의 영역은 게이트 유전체 재료 층(556)의 단 하나의 층과 전도성 게이트 층(558)의 단 하나의 층만을 포함하여, 구조(550)의 그러한 좁은 영역에서 공간 제약을 완화한다.
도 5를 다시 참조하면, 일 실시예에서, 유전체 게이트 플러그(564)는 게이트 유전체 재료층(556), 전도성 게이트 층(558), 및 전도성 게이트 충진 재료(560)를 형성한 후에 형성된다. 그 결과, 게이트 유전체 재료층(556) 및 전도성 게이트 층(558)은 유전체 게이트 플러그(564)의 측면을 따라 형성되지 않는다. 일 실시예에서, 유전체 게이트 플러그(564)는 도시된 바와 같이, 유전체 게이트 캡(562)의 최상부 표면과 동일 평면에 있는 최상부 표면을 갖는다. 다른 실시예에서, 도시되지 않았지만, 유전체 게이트 캡(562)은 포함되지 않고, 유전체 게이트 플러그(564)는 예를 들어 평면(580)을 따라 전도성 게이트 충진 재료(560)의 최상부 표면과 동일 평면의 최상부 표면을 갖는다.
도 5와 대조적으로, 게이트 컷 랜딩 구조를 포함하는 예로서, 도 6은 본 개시의 실시예에 따른 나노와이어 및 컷팅된 금속 게이트 유전체 플러그를 갖는 집적 회로 구조의 단면도를 도시한다.
도 6을 참조하면, 집적 회로 구조(650)는 STI(Shallow Trench Isolation) 구조(654) 위로 돌출된 부분을 갖는 서브-핀(652)을 포함한다. 수평으로 적층된 복수의 나노와이어(655)가 서브-핀(652) 위에 있다. 자체 정렬 게이트 엔드 캡 구조와 같은 게이트 엔드 캡 구조(653)는 STI 구조(654) 위에 있지만 이 STI 구조(654)를 관통하지 않고, 서브-핀(652) 및 수평으로 적층된 복수의 나노와이어(655)로부터 측방향으로 이격된다. 고유전율 게이트 유전체 층과 같은 게이트 유전체 재료 층(656)은 서브-핀(652)의 돌출 부분 위에 있고, STI 구조(654) 위에 있으며, 게이트 엔드 캡 구조(653)의 측면을 따라 있고, 수평으로 적층된 나노와이어(655)를 둘러싼다. 도시되지는 않았지만, 서브-핀(652)의 산화된 부분은 서브-핀(652)의 돌출 부분과 게이트 유전체 재료층(656) 사이에, 그리고 수평으로 적층된 나노와이어(655)와 게이트 유전체 재료층(656) 사이에 있으며, 게이트 유전체 재료층(656)과 함께 포함되어 게이트 유전체 구조를 형성할 수 있다. 일함수 금속층과 같은 전도성 게이트층(658)은 게이트 유전체 재료층(656) 위에 있고, 도시된 바와 같이 게이트 유전체 재료층(656) 바로 위에 있을 수 있다. 전도성 게이트 충진 재료(660)는 전도성 게이트 층(658) 위에 있고, 도시된 바와 같이 전도성 게이트 층(658) 바로 위에 있을 수 있다. 유전체 게이트 캡(662)은 전도성 게이트 충진 재료(660) 상에 있다. 유전체 게이트 플러그(664)는 게이트 엔드 캡 구조(653) 상에 있다. 그러나, 게이트 유전체 재료층(656) 및 전도성 게이트 층(658)은 유전체 게이트 플러그(664)의 측면을 따라 있지 않다. 대신, 전도성 게이트 충진 재료(660)는 유전체 게이트 플러그(664)의 측면과 접촉한다.
도 6을 다시 참조하면, 일 실시예에서, 유전체 게이트 플러그(664)는 게이트 유전체 재료층(656), 전도성 게이트 층(658), 및 전도성 게이트 충진 재료(660)를 형성한 후에 형성된다. 그 결과, 게이트 유전체 재료층(656) 및 전도성 게이트층(658)은 유전체 게이트 플러그(664)의 측면을 따라 형성되지 않는다. 일 실시예에서, 유전체 게이트 플러그(664)는 도시된 바와 같이, 유전체 게이트 캡(662)의 최상부 표면과 동일 평면에 있는 최상부 표면을 갖는다. 다른 실시예에서, 도시되지 않았지만, 유전체 게이트 캡(662)은 포함되지 않고, 유전체 게이트 플러그(664)는 예를 들어 평면(680)을 따라 전도성 게이트 충진 재료(660)의 최상부 표면과 동일 평면의 최상부 표면을 갖는다. 게이트 엔드 캡 구조(653)는 일 실시예에 따라 STI 구조(654)의 최상부 표면 상에 최하부 표면을 갖는 것으로 도시된다는 것을 이해해야 한다. 다른 실시예에서, 게이트 엔드 캡 구조(653)는 도 2, 3 및 4와 관련하여 위에서 설명된 바와 같이 STI 구조(654)의 최상부 표면 아래의 최하부 표면을 갖는다.
일 실시예에서, 금속 일함수는 (a) NMOS 및 PMOS의 동일한 금속 시스템, (b) NMOS와 PMOS 사이의 다른 금속 시스템, 및/또는 (c) 단일 재료 또는 다층 금속(예컨대, W, TiN, TixAlyCz, TaN, Mo, MoN)일 수 있다. 일 실시예에서, 금속 컷 에칭 화학은 패시베이션을 제공하는 가능한 추가 탄소 또는 실리콘 함유 성분과 함께, 염소 함유 또는 불소 함유 에칭제를 포함한다.
본 명세서에 설명된 실시예는 또한 다양한 폭, 두께 및/또는 Si 및 SiGe를 포함하지만 이에 제한되지 않는 재료를 갖는 나노와이어 및/또는 나노리본과 같은 다른 구현을 포함할 수 있음을 이해해야 한다. 예를 들어, III-V족 물질이 사용될 수 있다.
특정 실시예에서, 나노와이어 또는 나노리본, 또는 희생 개재층은 실리콘으로 구성될 수 있다는 것을 이해해야 한다. 전반에 걸쳐 사용되는 바와 같이, 실리콘 층은 전부는 아니지만 매우 많은 양의 실리콘으로 구성된 실리콘 재료를 설명하는 데 사용될 수 있다. 그러나, 실시에 있어서, 100% 순수한 Si는 형성하기 어려울 수 있고, 따라서 탄소, 게르마늄 또는 주석의 작은 비율을 포함할 수 있음을 이해해야 한다. 이러한 불순물은 Si 증착 동안 피할 수 없는 불순물 또는 성분으로 포함될 수 있거나, 증착 후 처리 동안 확산 시 Si를 "오염"시킬 수 있다. 이와 같이, 실리콘 층에 관해 본 명세서에 설명된 실시예는 비교적 소량, 예를 들어 "불순물" 레벨, 비-Si 원자 또는 종, 예컨대, Ge, C 또는 Sn을 함유하는 실리콘 층을 포함할 수 있다. 본 명세서에 기술된 바와 같은 실리콘 층은 도핑되지 않을 수 있거나, 또는 붕소, 인 또는 비소와 같은 도펀트 원자로 도핑될 수 있음을 이해해야 한다.
특정 실시예에서, 나노와이어 또는 나노리본, 또는 희생 개재층은 실리콘 게르마늄으로 구성될 수 있다는 것을 이해해야 한다. 전반에 걸쳐 사용되는 바와 같이, 실리콘 게르마늄 층은 실리콘 및 게르마늄 둘 모두의 많은 부분, 예컨대, 이들 모두의 적어도 5%로 구성된 실리콘 게르마늄 재료를 설명하는 데 사용될 수 있다. 일부 실시예에서, 게르마늄의 양은 실리콘의 양보다 많다. 특정 실시예에서, 실리콘 게르마늄 층은 약 60% 게르마늄 및 약 40% 실리콘(Si40Ge60)을 포함한다. 다른 실시예에서, 실리콘의 양은 게르마늄의 양보다 많다. 특정 실시예에서, 실리콘 게르마늄 층은 약 30% 게르마늄 및 약 70% 실리콘(Si70Ge30)을 포함한다. 실시에 있어서, 100% 순수 실리콘 게르마늄(일반적으로 SiGe라고 함)은 형성하기 어려울 수 있으며, 따라서 소량의 탄소 또는 주석을 포함할 수 있음을 이해해야 한다. 이러한 불순물은 SiGe 증착 동안 피할 수 없는 불순물 또는 성분으로 포함될 수 있거나 증착 후 처리 동안 확산 시 SiGe를 "오염"시킬 수 있다. 이와 같이, 실리콘 게르마늄 층에 관해 본 명세서에 설명된 실시예는 비교적 소량, 예를 들어 "불순물" 레벨, 비-Ge 원자 및 비-Si 원자 또는 종, 예컨대 탄소 또는 주석을 함유하는 실리콘 게르마늄 층을 포함할 수 있다. 본 명세서에 설명된 실리콘 게르마늄 층은 도핑되지 않을 수 있고, 또는 붕소, 인 또는 비소와 같은 도펀트 원자로 도핑될 수 있음을 이해해야 한다.
아래에서 설명되는 다양한 장치 및 처리 방식은 유전체 앵커 상에 랜딩된 금속 게이트 플러그를 포함하는 구조 및/또는 유전체 앵커 및 한정된 에피택셜 소스 또는 드레인 구조를 포함하는 구조와 통합될 수 있는 장치를 제조하는 데 사용될 수 있다. 예시적인 실시예는 설명된 모든 특징을 반드시 필요로 하지 않을 수 있고, 또는 설명된 것보다 더 많은 특징을 포함할 수 있음을 이해해야 한다. 예를 들어, 나노와이어 릴리스 처리는 교체 게이트 트렌치를 통해 수행될 수 있다. 이러한 릴리스 처리의 예는 아래에 설명된다. 부가적으로, 또 다른 양태에서, 백엔드(BE) 상호연결 스케일링은 패터닝 복잡성으로 인해 더 낮은 성능과 더 높은 제조 비용을 초래할 수 있다. 본 명세서에 설명된 실시예는 나노와이어 트랜지스터에 대한 전면 및 후면 상호연결 통합을 가능하게 하도록 구현될 수 있다. 본 명세서에 설명된 실시예는 비교적 더 넓은 상호연결 피치를 달성하기 위한 접근법을 제공할 수 있다. 그 결과 제품 성능이 향상되고 패터닝 비용이 절감될 수 있다. 실시예는 저전력 및 고성능을 갖는 스케일링된 나노와이어 또는 나노리본 트랜지스터의 강력한 기능을 가능하게 하도록 구현될 수 있다.
본 명세서에 기술된 하나 이상의 실시예는 부분적 소스 또는 드레인(SD) 및 비대칭 트렌치 접촉(TCN) 깊이를 사용하는 나노와이어 또는 나노리본 트랜지스터를 위한 이중 에피택셜(EPI) 연결에 관한 것이다. 실시예에서, 집적 회로 구조는 SD 에피택시로 부분적으로 채워진 나노와이어/나노리본 트랜지스터의 소스-드레인 개구부를 형성함으로써 제조된다. 개구부의 나머지 부분은 전도성 재료로 채워진다. 소스 또는 드레인 측 중 하나 상에 깊은 트렌치를 형성하면 후면 상호연결 레벨에 대한 직접 접촉을 가능하게 할 수 있다.
게이트-올-어라운드 집적 회로 구조의 게이트-올-어라운드 장치를 제조하기 위한 예시적인 프로세스 흐름으로서, 도 7a 내지 도 7j는 본 개시의 실시예에 따른, 게이트-올-어라운드 집적 회로 구조를 제조하는 방법에서의 다양한 작업의 단면도를 예시한다.
도 7a를 참조하면, 집적 회로 구조를 제조하는 방법은 실리콘 핀과 같은 핀(702) 위의 교번하는 희생층(704)과 나노와이어(706)를 포함하는 시작 스택을 형성하는 단계를 포함한다. 나노와이어(706)는 나노와이어의 수직 배열로 지칭될 수 있다. 도시된 바와 같이, 보호 캡(708)이 교번하는 희생층(704) 및 나노와이어(706) 위에 형성될 수 있다. 또한 도시된 바와 같이, 완화된 버퍼 층(452) 및 결함 수정 층(450)이 교번하는 희생 층(704) 및 나노와이어(706) 아래에 형성될 수 있다.
도 7b를 참조하면, 게이트 스택(710)이 수평 나노와이어(706)의 수직 배열 위에 형성된다. 도 7c에 도시된 바와 같이, 수평 나노와이어(706)의 수직 배열의 부분들은 희생층(704)의 일부를 제거하여 리세스된 희생층(704') 및 공동(712)을 제공함으로써 릴리스된다.
도 7c의 구조는 후술하는 깊은 에칭 및 비대칭 접촉 처리를 먼저 수행하지 않고 완성될 때까지 제조될 수 있다는 것을 이해해야 한다. 어느 경우든(예를 들어, 비대칭 접촉 처리가 있든 없든), 실시예에서, 제조 프로세스는 수직으로 이산적인 소스 또는 드레인 구조일 수 있는, 에피택셜 너브(nub)를 갖는 게이트-올-어라운드 집적 회로 구조를 제공하는 프로세스 방식의 사용을 포함한다.
도 7d를 참조하면, 상부 게이트 스페이서(714)는 게이트 구조(710)의 측벽에 형성된다. 공동 스페이서(716)는 상부 게이트 스페이서(714) 아래의 공동(712)에 형성된다. 그 다음, 깊은 트렌치 접촉 에칭이 선택적으로 수행되어 트렌치(718)를 형성하고, 리세스된 나노와이어(706')를 형성한다. 도시된 바와 같이, 패터닝된 완화된 버퍼 층(752') 및 패터닝된 결함 수정 층(750')이 또한 존재할 수 있다.
희생 재료(720)는 도 7e에 도시된 바와 같이 트렌치(718)에 형성된다. 다른 프로세스 방식에서, 격리된 트렌치 바닥 또는 실리콘 트렌치 바닥이 사용될 수 있다.
도 7f를 참조하면, 수평 나노와이어(706')의 수직 배열의 제1 단부에 제1 에피택셜 소스 또는 드레인 구조(예를 들어, 좌측 피처(722))가 형성된다. 수평 나노와이어(706')의 수직 배열의 제2 단부에 제2 에피택셜 소스 또는 드레인 구조(예를 들어, 우측 피처(722))가 형성된다. 실시예에서, 도시된 바와 같이, 에피택셜 소스 또는 드레인 구조(722)는 수직으로 이산적인 소스 또는 드레인 구조이고 에피택셜 너브로 지칭될 수 있다.
도 7g에 도시된 바와 같이, 층간 유전체(ILD) 재료(724)가 게이트 전극(710)의 측면에 그리고 소스 또는 드레인 구조(722)에 인접하여 형성된다. 도 7h를 참조하면, 영구 게이트 유전체(728) 및 영구 게이트 전극(726)을 형성하기 위해 교체 게이트 프로세스가 사용된다. 그 다음, 도 7i에 도시된 바와 같이, ILD 재료(724)가 제거된다. 희생 재료(720)는 그 다음 소스 드레인 위치들 중 하나(예를 들어, 우측)로부터 제거되어 트렌치(732)를 형성하지만, 트렌치(730)를 형성하기 위해 소스 드레인 위치들 중 다른 위치로부터는 제거되지 않는다.
도 7j를 참조하면, 제1 에피택셜 소스 또는 드레인 구조(예를 들어, 좌측 피처(722))에 결합된 제1 전도성 접촉 구조(734)가 형성된다. 제2 에피택셜 소스 또는 드레인 구조(예를 들어, 우측 피처(722))에 결합된 제2 전도성 접촉 구조(736)가 형성된다. 제2 전도성 접촉 구조(736)는 핀(702)을 따라 제1 전도성 접촉 구조(734)보다 더 깊게 형성된다. 실시예에서, 도 7j에는 도시되지 않았지만, 방법은 핀(702)의 바닥에서 제2 전도성 접촉 구조(736)의 노출된 표면을 형성하는 단계를 더 포함한다. 전도성 접촉부는 접촉 저항 감소 층 및 1차 접촉 전극 층을 포함할 수 있으며, 여기서 예는 (전자의 경우) Ti, Ni, Co를 포함할 수 있다(후자의 경우에는 W, Ru, Co를 포함할 수 있다).
실시예에서, 도시된 바와 같이, 제2 전도성 접촉 구조(736)는 핀(702)을 따라 제1 전도성 접촉 구조(734)보다 더 깊다. 그러한 일 실시예에서, 제1 전도성 접촉 구조(734)는 도시된 바와 같이 핀(702)을 따르지 않는다. 도시되지 않은 그러한 다른 실시예에서, 제1 전도성 접촉 구조(734)는 부분적으로 핀(702)을 따른다.
실시예에서, 제2 전도성 접촉 구조(736)는 핀(702)의 전체를 따라 있다. 실시예에서, 도시되지는 않았지만, 핀(702)의 바닥이 후면 기판 제거 프로세스에 의해 노출되는 경우, 제2 전도성 접촉 구조(736)는 핀(702)의 바닥에서 노출된 표면을 갖는다.
일 실시예에서, 도 7j의 구조 또는 도 7a-7j의 관련 구조는 예컨대, 도 1a-1o, 도 2a-2c, 도 3, 도 4 및 도 6과 관련하여 설명된, 유전체 앵커 상에 랜딩된 금속 게이트 플러그를 포함하는 구조 및/또는 유전체 앵커 및 한정된 에피택셜 소스 또는 드레인 구조를 포함하는 구조와 연계하여 형성될 수 있다.
다른 양태에서, 비대칭 소스 및 드레인 접촉 구조 쌍의 전도성 접촉 구조 둘 다에 액세스할 수 있도록 하기 위해, 본 명세서에 설명된 집적 회로 구조는 전면 구조 제조 접근법의 후면 노출을 사용하여 제조될 수 있다. 일부 예시적인 실시예에서, 트랜지스터 또는 다른 장치 구조의 후면을 드러내는 것은 웨이퍼 레벨 후면 처리를 수반한다. 종래의 TSV-타입 기술과 대조적으로, 본 명세서에 기술된 바와 같은 트랜지스터의 후면의 노출은 장치 셀의 밀도에서, 그리고 심지어 장치의 서브-영역 내에서 수행될 수 있다. 더욱이, 트랜지스터의 후면의 그러한 노출은 전면 장치 처리 동안 장치 층이 그 위에 배치된 도너 기판의 실질적으로 모두를 제거하도록 수행될 수 있다. 이와 같이, 트랜지스터의 후면의 노출이 잠재적으로 수십 또는 수백 나노미터에 불과할 가능성이 있을 장치 셀의 반도체 두께에서는 마이크론 깊이의 TSV가 불필요해진다.
본 명세서에 설명된 노출 기술은 "상향식(bottom-up)" 장치 제조에서 "센터-아웃(center-out)" 제조로의 패러다임 전환을 가능하게 할 수 있으며, 여기서 "센터"는, 전면 제조에서 이용되고 후면로부터 노출되며, 다시 후면 제조에 사용되는 임의의 층이다. 장치 구조의 전면과 후면을 모두 처리하면 주로 전면 처리에 의존할 때 3D IC 제조와 관련된 많은 문제를 해결할 수 있다.
트랜지스터 접근법의 후면의 노출은 예를 들어 도너-호스트 기판 어셈블리의 캐리어 층 및 개재 층의 적어도 일부를 제거하기 위해 사용될 수 있다. 프로세스 흐름은 도너-호스트 기판 어셈블리의 입력으로 시작된다. 도너-호스트 기판에서 캐리어 층의 두께는 연마(예를 들어, CMP)되고 및/또는 습식 또는 건식(예를 들어, 플라즈마) 에칭 프로세스로 에칭된다. 캐리어 층의 조성에 적합한 것으로 알려진 임의의 그라인드, 연마 및/또는 습식/건식 에칭 프로세스가 사용될 수 있다. 예를 들어, 캐리어 층이 IV족 반도체(예컨대, 실리콘)인 경우, 반도체를 얇게 하는 데 적합한 것으로 알려진 CMP 슬러리(slurry)가 사용될 수 있다. 마찬가지로, IV족 반도체를 얇게 하는 데 적합한 것으로 알려진 임의의 습식 에칭제 또는 플라즈마 에칭 프로세스가 또한 사용될 수 있다.
일부 실시예에서, 전술한 것은 개재 층에 실질적으로 평행한 파단 평면(fracture plane)을 따라 캐리어 층을 쪼개는 것에 후속한다. 쪼개는 또는 파괴하는 프로세스는 캐리어 층의 대부분을 벌크 덩어리로 제거하여, 캐리어 층을 제거하는 데 필요한 연마 또는 에칭 시간을 줄이는 데 사용될 수 있다. 예를 들어, 캐리어 층이 두께가 400-900 μm인 경우, 웨이퍼 레벨 균열을 촉진하는 것으로 알려진 임의의 블랭킷 주입을 실행하여 100-700 μm가 쪼개질 수 있다. 일부 예시적인 실시예에서, 가벼운 요소(예를 들어, H, He, 또는 Li)는 파단면이 요구되는 캐리어 층 내의 균일한 타겟 깊이로 주입된다. 이러한 쪼개는 프로세스에 후속하여, 도너-호스트 기판 어셈블리에 남아 있는 캐리어 층의 두께는 제거를 완료하기 위해 연마되거나 에칭될 수 있다. 대안적으로, 캐리어 층이 파괴되지 않은 경우, 캐리어 층의 더 두꺼운 두께를 제거하기 위해 그라인드, 연마 및/또는 에칭 작업이 사용될 수 있다.
다음으로, 개재 층의 노출이 검출된다. 검출은 도너 기판의 후면이 거의 장치 층까지 전진한 지점을 식별하는 데 사용된다. 캐리어 층과 개재 층에 사용된 재료 사이의 천이를 감지하는 데 적합한 것으로 알려진 임의의 엔드포인트 검출 기술이 실행될 수 있다. 일부 실시예에서, 하나 이상의 엔드포인트 기준은 연마 또는 에칭 실행 동안 도너 기판의 후면 표면의 광 흡수 또는 방출의 변화를 검출하는 것에 기초한다. 일부 다른 실시예에서, 엔드포인트 기준은 도너 기판 후면의 연마 또는 에칭 동안 부산물의 광 흡수 또는 방출의 변화와 연관된다. 예를 들어, 캐리어 층 에칭 부산물과 연관된 흡수 또는 방출 파장은 캐리어 층 및 개재 층의 상이한 조성의 함수로서 변동될 수 있다. 다른 실시예에서, 엔드포인트 기준은 도너 기판의 후면 표면을 연마하거나 에칭함으로써 발생하는 부산물에서의 종(species)의 질량 변화와 연관된다. 예를 들어, 처리의 부산물은 사중극자 질량 분석기를 통해 샘플링될 수 있고, 종 질량의 변화는 캐리어 층 및 개재 층의 상이한 조성과 상관될 수 있다. 다른 예시적인 실시예에서, 엔드포인트 기준은 도너 기판의 후면과 도너 기판의 후면과 접촉하는 연마 표면 사이의 마찰의 변화와 연관된다.
캐리어 제거 프로세스에서의 불균일이 캐리어 층과 개재 층 사이의 에칭율 델타만큼 완화될 수 있으므로 제거 프로세스가 개재 층과 관련하여 캐리어 층에 대해 선택적인 경우 개재 층의 검출이 향상될 수 있다. 그라인드, 연마 및/또는 에칭 작업이 캐리어 층이 제거되는 속도보다 충분히 낮은 속도로 개재 층을 제거하는 경우 검출을 건너뛸 수도 있다. 엔드포인트 기준이 사용되지 않는 경우, 개재 층의 두께가 에칭의 선택성에 충분한 경우 사전 결정된 고정 기간의 그라인드, 연마 및/또는 에칭 작업이 개재 층 재료에서 중지될 수 있다. 일부 예에서, 캐리어 에칭율, 즉 개재 층 에칭율은 3:1-10:1, 또는 그 이상이다.
개재 층을 노출시키면, 개재 층의 적어도 일부가 제거될 수 있다. 예를 들어, 개재 층의 하나 이상의 컴포넌트 층이 제거될 수 있다. 개재 층의 두께는 예를 들어 연마에 의해 균일하게 제거될 수 있다. 대안적으로, 개재 층의 두께는 마스킹 또는 블랭킷 에칭 프로세스로 제거될 수 있다. 프로세스는 캐리어를 얇게 하기 위해 사용된 것과 동일한 연마 또는 에칭 프로세스를 사용할 수 있거나, 별개의 프로세스 파라미터를 갖는 별개의 프로세스일 수 있다. 예를 들어, 개재 층이 캐리어 제거 프로세스를 위한 에칭 정지를 제공하는 경우, 후자의 작업은 장치 층의 제거보다 개재 층의 제거를 선호하는 다른 연마 또는 에칭 프로세스를 사용할 수 있다. 수백 나노미터 미만의 개재 층 두께가 제거되어야 하는 경우, 제거 프로세스는 상대적으로 느리고 웨이퍼 간 균일성을 위해 최적화되며, 캐리어 층 제거에 사용되는 것보다 더 정밀하게 제어될 수 있다. 사용된 CMP 프로세스는 예를 들어 인접한 장치 영역 사이의 전기적 절연으로서, 반도체(예컨대, 실리콘)와 장치 층을 둘러싸며 개재 층 내에 내장된 유전체 재료(예를 들어, SiO) 사이에 매우 높은 선택성(예를 들어, 100:1-300:1 또는 그 이상)을 제공하는 슬러리(slurry)를 사용할 수 있다.
장치 층이 개재 층의 완전한 제거를 통해 드러나는 실시예의 경우, 후면 처리는 장치 층의 노출된 후면 또는 그 안의 특정 장치 영역에서 시작될 수 있다. 후면 장치 층 처리는 소스 또는 드레인 영역과 같은 장치 층에서 이전에 제조된 장치 영역과 개재 층 사이에 배치된 장치 층의 두께를 통한 추가 연마 또는 습식/건식 에칭을 포함한다.
캐리어 층, 개재 층, 또는 장치 층 후면이 습식 및/또는 플라즈마 에칭으로 리세스되는 일부 실시예에서, 이러한 에칭은 장치 층 후면에 현저한 비평면성 또는 지형(topography)을 부여하는 패터닝된 에칭 또는 물질적으로 선택적인 에칭일 수 있다. 아래에서 더 설명되는 바와 같이, 패터닝은 장치 셀 내에 있을 수 있거나(즉, "인트라-셀" 패터닝) 또는 장치 셀에 걸쳐 있을 수 있다(즉, "셀간" 패터닝). 일부 패터닝된 에칭 실시예에서, 개재 층의 적어도 일부 두께는 후면 장치 층 패터닝을 위한 하드 마스크로서 사용된다. 따라서, 마스킹된 에칭 프로세스는 대응하여 마스킹된 장치 층 에칭을 선행할 수 있다.
전술한 처리 방식은 개재 층의 후면, 장치 층의 후면, 및/또는 장치 층 내의 하나 이상의 반도체 영역의 후면을 구비하고, 및/또는 전면 금속화가 노출된 IC 장치를 포함하는 도너 호스트 기판 어셈블리를 초래할 수 있다. 이러한 노출된 영역 중 어느 하나의 추가 후면 처리는 다운스트림 처리 중에 수행될 수 있다.
PMOS 및/또는 NMOS 장치 제조와 같은 장치 제조를 완료하기 위한 후속 처리 작업을 위해 전술한 예시적인 처리 방식으로 인한 구조가 동일하거나 유사한 형태로 사용될 수 있음을 이해해야 한다. 완성된 장치의 예로서, 도 8은 본 개시의 실시예에 따라 게이트 라인을 따라 취해진 비평면 집적 회로 구조의 단면도를 예시한다.
도 8을 참조하면, 반도체 구조 또는 장치(800)는 트렌치 격리 영역(806) 내에 비평면 활성 영역(예를 들어, 돌출 핀 부분(804) 및 서브-핀 영역(805)을 포함하는 핀 구조)을 포함한다. 실시예에서, 솔리드 핀 대신에, 비평면 활성 영역은 점선으로 표시된 바와 같이, 서브-핀 영역(805) 위의 나노와이어(예컨대, 나노와이어(804A 및 804B))로 분리된다. 어느 경우이든, 비평면 집적 회로 구조(800)에 대한 설명의 용이함을 위해, 비평면 활성 영역(804)은 아래에서 돌출 핀 부분으로서 참조된다. 실시예에서, 서브-핀 영역(805)은 도시된 바와 같이 완화된 버퍼 층(842) 및 결함 수정 층(840)을 또한 포함한다.
게이트 라인(808)은 비평면 활성 영역의 돌출부(804)(적용가능한 경우, 나노와이어(804A 및 804B)를 둘러싸는 것을 포함함) 및 트렌치 격리 영역(806)의 일부 위에 배치된다. 도시된 바와 같이, 게이트 라인(808)은 게이트 전극(850) 및 게이트 유전체 층(852)을 포함한다. 일 실시예에서, 게이트 라인(808)은 또한 유전체 캡 층(854)을 포함할 수 있다. 게이트 접촉부(814) 및 상부 게이트 접촉 비아(816)가 또한 상부 금속 상호연결부(860)를 따라, 이러한 관점에서 볼 수 있는데, 이들 모두는 층간 유전체 스택 또는 층(870)에 배치된다. 또한, 도 8의 관점에서 볼 때, 일 실시예에서, 게이트 접촉부(814)는 트렌치 격리 영역(806) 위에 배치되지만 비평면 활성 영역 위에는 배치되지 않는다. 다른 실시예에서, 게이트 접촉부(814)는 비평면 활성 영역 위에 있다.
실시예에서, 반도체 구조 또는 장치(800)는 fin-FET 장치, 3중-게이트 장치, 나노리본 장치, 또는 나노와이어 장치와 같은 비평면 장치이지만 이에 제한되지 않는다. 그러한 실시예에서, 대응하는 반도체 채널 영역은 3차원 본체로 구성되거나 그 내에 형성된다. 그러한 일 실시예에서, 게이트 라인(808)의 게이트 전극 스택은 3차원 본체의 적어도 상부 표면 및 한 쌍의 측벽을 둘러싼다.
도 8에 또한 도시된 바와 같이, 실시예에서, 인터페이스(880)는 돌출 핀 부분(804)과 서브-핀 영역(805) 사이에 존재한다. 인터페이스(880)는 도핑된 서브-핀 영역(805)과 약하게 또는 도핑되지 않은 상부 핀 부분(804) 사이의 천이 영역일 수 있다. 이러한 일 실시예에서, 각각의 핀은 폭이 대략 10 나노미터 이하이고, 서브-핀 도펀트는 서브-핀 위치에서 인접한 고체 상태 도핑 층으로부터 선택적으로 공급된다. 특정한 그러한 실시예에서, 각각의 핀은 폭이 10 나노미터 미만이다.
도 8에 도시되어 있지는 않지만, 돌출 핀 부분(804)의 또는 이에 인접한 소스 또는 드레인 영역은 게이트 라인(808)의 양쪽, 즉 페이지의 안과 밖으로 있다는 것을 이해해야 한다. 일 실시예에서, 소스 또는 드레인 위치의 돌출 핀 부분(804)의 재료는 제거되고, 예를 들어 에피택셜 소스 또는 드레인 구조를 형성하기 위해 에피택셜 증착에 의해 다른 반도체 재료로 교체된다. 소스 또는 드레인 영역은 트렌치 격리 영역(806)의 유전체 층의 높이 아래로, 즉 서브-핀 영역(805) 내로 연장될 수 있다. 본 개시의 실시예에 따르면, 더 많이 도핑된 서브-핀 영역, 즉 인터페이스(880) 아래의 핀의 도핑된 부분은 벌크 반도체 핀의 이 부분을 통한 소스-드레인 누출을 억제한다. 실시예에서, 소스 및 드레인 영역은 도 7j와 관련하여 앞서 설명된 바와 같이 관련된 비대칭 소스 및 드레인 접촉 구조를 갖는다.
다시 도 8을 참조하면, 실시예에서, 핀(804/805)(및 가능하게는 나노와이어(804A 및 804B))은 인, 비소, 붕소, 갈륨 또는 이들의 조합과 같은, 그러나 이에 국한되지 않는 전하 캐리어로 도핑될 수 있는 결정질 실리콘 게르마늄 층으로 구성된다.
실시예에서, 트렌치 격리 영역(806), 및 전반에 걸쳐 설명된 트렌치 격리 영역(트렌치 격리 구조 또는 트렌치 격리 층)은 궁극적으로는 영구 게이트 구조의 부분들을 하부 벌크 기판으로부터 또는 격리 핀 활성 영역과 같은 하부 벌크 기판 내에 형성된 격리 활성 영역으로부터 전기적으로 격리하거나 그러한 격리에 기여하는데 적절한 재료로 구성될 수 있다. 예를 들어, 일 실시예에서, 트렌치 격리 영역(806)은 실리콘 이산화물, 실리콘 산질화물, 실리콘 질화물, 또는 탄소 도핑된 실리콘 질화물과 같은, 그러나 이에 한정되지 않는 유전체 재료로 구성된다.
게이트 라인(808)은 게이트 유전체 층(852) 및 게이트 전극 층(850)을 포함하는 게이트 전극 스택으로 구성될 수 있다. 실시예에서, 게이트 전극 스택의 게이트 전극은 금속 게이트로 구성되고, 게이트 유전체 층은 고유전율 재료로 구성된다. 예를 들어, 실시예에서, 게이트 유전체 층(852)은 하프늄 산화물, 하프늄 산질화물, 하프늄 실리케이트, 란탄 산화물, 지르코늄 산화물, 지르코늄 실리케이트, 탄탈럼 산화물, 바륨 스트론튬 티타네이트, 바륨 티타네이트, 스트론튬 티타네이트, 이트륨 산화물, 알루미늄 산화물, 납 스칸듐 탄탈럼 산화물, 납 아연 니오베이트, 또는 이들의 조합과 같은, 그러나 이에 국한되지 않는 재료로 구성된다. 더욱이, 게이트 유전체 층(852)의 일부는 기판 핀(804)의 상부 몇 개의 층으로부터 형성된 천연 산화물의 층을 포함할 수 있다. 실시예에서, 게이트 유전체 층(852)은 상부 고유전율 부분 및 반도체 물질의 산화물로 구성된 하부 부분으로 구성된다. 일 실시예에서, 게이트 유전체 층(852)은 하프늄 산화물의 상부 부분과 실리콘 이산화물 또는 실리콘 산질화물의 바닥 부분으로 구성된다. 일부 구현에서, 게이트 유전체의 일부는 기판의 표면에 실질적으로 평행한 바닥 부분 및 기판의 상부 표면에 실질적으로 수직인 2개의 측벽 부분을 포함하는 "U"형 구조이다.
일 실시예에서, 게이트 전극 층(850)은 금속 질화물, 금속 탄화물, 금속 실리사이드, 금속 알루미나이드, 하프늄, 지르코늄, 티타늄, 탄탈럼, 알루미늄, 루테늄, 팔라듐, 백금, 코발트, 니켈 또는 전도성 금속 산화물과 같은, 그러나 이에 국한되지 않는 금속 층으로 구성된다. 특정 실시예에서, 게이트 전극 층(850)은 금속 일함수-설정 층 위에 형성된 비-일함수-설정 충진 재료로 구성된다. 게이트 전극 층(850)은 트랜지스터가 PMOS 또는 NMOS 트랜지스터인지 여부에 따라 P형 일함수 금속 또는 N형 일함수 금속으로 구성될 수 있다. 일부 구현에서, 게이트 전극 층((850)은 2개 이상의 금속 층의 스택으로 구성될 수 있고, 여기서 하나 이상의 금속 층은 일함수 금속 층이고 적어도 하나의 금속 층은 전도성 충진 층이다. PMOS 트랜지스터의 경우, 게이트 전극에 사용될 수 있는 금속은 루테늄, 팔라듐, 백금, 코발트, 니켈, 텅스텐, 및 전도성 금속 산화물, 예를 들어 루테늄 산화물을 포함하지만 이에 한정되지 않는다. P형 금속층은 약 4.9eV와 약 5.2eV 사이의 일함수를 갖는 PMOS 게이트 전극의 형성을 가능하게 할 것이다. NMOS 트랜지스터의 경우, 게이트 전극에 사용될 수 있는 금속은 하프늄, 지르코늄, 티타늄, 탄탈럼, 알루미늄, 이들 금속의 합금, 및 이들 금속의 탄화물, 예컨대, 하프늄 탄화물, 지르코늄 탄화물, 티타늄 탄화물, 탄탈럼 탄화물 및 알루미늄 탄화물을 포함하나 이에 국한되지 않는다. N형 금속층은 약 3.9eV와 약 4.2eV 사이의 일함수를 갖는 NMOS 게이트 전극의 형성을 가능하게 할 것이다. 일부 구현에서, 게이트 전극은 기판의 표면에 실질적으로 평행한 바닥 부분 및 기판의 상부 표면에 실질적으로 수직인 2개의 측벽 부분들을 포함하는 "U"자형 구조로 이루어질 수 있다. 다른 구현에서, 게이트 전극을 형성하는 금속 층 중 적어도 하나는 단순히 기판의 상부 표면에 실질적으로 평행하고 기판의 상부 표면에 실질적으로 수직인 측벽 부분을 포함하지 않는 평면 층일 수 있다. 본 개시의 추가 구현에서, 게이트 전극은 U자형 구조 및 평면의 비-U자형 구조의 조합으로 구성될 수 있다. 예를 들어, 게이트 전극은 하나 이상의 평면의 비-U자형 층 위에 형성된 하나 이상의 U자형 금속 층으로 구성될 수 있다.
게이트 전극 스택과 연관된 스페이서는 자체 정렬 접촉부와 같은 인접한 전도성 접촉부로부터 영구 게이트 구조를 궁극적으로 전기적으로 절연시키거나 그러한 절연에 기여하기에 적합한 재료로 구성될 수 있다. 예를 들어, 일 실시예에서, 스페이서는 실리콘 이산화물, 실리콘 산화질화물, 실리콘 질화물, 또는 탄소 도핑된 실리콘 질화물과 같은, 그러나 이에 국한되지 않는 유전체 재료로 구성된다.
게이트 접촉부(814) 및 상부 게이트 접촉 비아(816)는 전도성 재료로 구성될 수 있다. 실시예에서, 하나 이상의 접촉부 또는 비아는 금속 종으로 구성된다. 금속 종은 텅스텐, 니켈 또는 코발트와 같은 순수한 금속일 수 있거나 금속-금속 합금 또는 금속-반도체 합금(예컨대, 실리사이드 물질)과 같은 합금일 수 있다.
실시예(도시되지는 않았지만)에서, 기존의 게이트 패턴(808)에 본질적으로 완벽하게 정렬된 접촉 패턴이 형성되는 한편, 정렬 예산이 매우 빠듯한 리소그래피 단계의 사용을 제거한다. 실시예에서, 접촉 패턴은 수직으로 대칭인 접촉 패턴, 또는 도 7j와 관련하여 설명된 것과 같은 비대칭 접촉 패턴이다. 다른 실시예에서, 모든 접촉부는 전면에 연결되고 비대칭이 아니다. 이러한 일 실시예에서, 자체 정렬 접근 방식은 본질적으로 고도로 선택적인 습식 에칭(예를 들어, 종래에 구현된 건식 또는 플라즈마 에칭에 비해)을 사용하여 접촉 개구부를 생성하는 것을 가능하게 한다. 일 실시예에서, 접촉 플러그 리소그래피 작업과 연계하여 기존 게이트 패턴을 이용함으로써 접촉 패턴이 형성된다. 그러한 일 실시예에서, 이 접근 방식은 종래 접근 방식에서 사용되는 바와 같은, 접촉 패턴을 생성하기 위한 다른 중요한 리소그래피 작업의 필요성을 제거할 수 있게 한다. 실시예에서, 트렌치 접촉 그리드는 개별적으로 패터닝되지 않고 오히려 여러 (게이트) 라인들 사이에 형성된다. 예를 들어, 그러한 일 실시예에서, 트렌치 접촉 그리드는 게이트 격자 패터닝 이후에 그러나 게이트 격자 컷팅 전에 형성된다.
실시예에서, 구조(800)를 제공하는 것은 교체 게이트 프로세스에 의한 게이트 스택 구조(808)의 제조를 포함한다. 이러한 방식에서, 폴리실리콘 또는 실리콘 질화물 기둥 재료와 같은 더미 게이트 재료가 제거되고 영구 게이트 전극 재료로 교체될 수 있다. 하나의 그러한 실시예에서, 영구 게이트 유전체 층은 이전 프로세스로부터 전달되는 것과 대조적으로 이 프로세스에서 또한 형성된다. 실시예에서, 더미 게이트는 건식 에칭 또는 습식 에칭 프로세스에 의해 제거된다. 일 실시예에서, 더미 게이트는 다결정 실리콘 또는 비정질 실리콘으로 구성되고 SF6의 사용을 포함하는 건식 에칭 프로세스를 통해 제거된다. 다른 실시예에서, 더미 게이트는 다결정 실리콘 또는 비정질 실리콘으로 구성되고 수성 NH4OH 또는 테트라메틸암모늄 하이드록사이드의 사용을 포함하는 습식 에칭 프로세스를 통해 제거된다. 일 실시예에서, 더미 게이트는 실리콘 질화물로 구성되고 수성 인산을 포함하는 습식 에칭을 통해 제거된다.
다시 도 8을 참조하면, 반도체 구조 또는 장치(800)의 배열은 격리 영역 위에 게이트 접촉부를 배치한다. 이러한 배열은 레이아웃 공간의 비효율적인 사용으로 보여질 수 있다. 그러나, 다른 실시예에서, 반도체 장치는 활성 영역 위에, 예를 들어 핀(805) 위에 그리고 트렌치 접촉 비아와 동일한 층에 형성된 게이트 전극의 부분과 접촉하는 접촉 구조를 갖는다.
도 8의 구조는 예컨대, 도 1a-1o, 도 2a-2c, 도 3, 도 4 및 도 6과 관련하여 설명된, 유전체 앵커 상에 랜딩된 금속 게이트 플러그를 포함하는 구조 및/또는 유전체 앵커 및 한정된 에피택셜 소스 또는 드레인 구조를 포함하는 구조와 연계하여 형성될 수 있다.
위에서 설명된 프로세스의 모든 양태만이 본 개시의 실시예의 사상 및 범위 내에 속하도록 실시되게 하는 것은 아님을 이해해야 한다. 또한, 본 명세서에 설명된 프로세스는 하나 또는 복수의 반도체 장치를 제조하는데 사용될 수 있다. 반도체 장치는 트랜지스터 또는 이와 유사한 장치일 수 있다. 예를 들어, 일 실시예에서, 반도체 장치는 로직 또는 메모리를 위한 금속 산화물 반도체(MOS) 트랜지스터이거나 바이폴라 트랜지스터이다. 또한, 실시예에서, 반도체 장치는 나노와이어 장치, 나노리본 장치, 3중-게이트 장치, 독립적으로 액세스되는 이중 게이트 장치, 또는 FIN-FET와 같은 3차원 아키텍처를 갖는다. 하나 이상의 실시예는 서브-10 나노미터(10 nm) 기술 노드에서 반도체 장치를 제조하는데 특히 유용할 수 있다.
실시예에서, 본 설명 전반에 걸쳐 사용되는 바와 같이, 층간 유전체(ILD) 재료는 유전체 또는 절연 재료의 층으로 구성되거나 이를 포함한다. 적합한 유전체 재료의 예는 실리콘 산화물(예를 들어, 실리콘 이산화물(SiO2)), 실리콘의 도핑된 산화물, 실리콘의 플루오르화 산화물, 실리콘의 탄소 도핑된 산화물, 당업계에 알려진 다양한 저유전율(low-K) 유전체 재료, 및 이들의 조합을 포함하나 이에 국한되지 않는다. 층간 유전체 재료는 예를 들어 화학 기상 증착(CVD), 물리적 기상 증착(PVD)과 같은 통상적인 기술에 의해, 또는 다른 증착 방법에 의해 형성될 수 있다.
실시예에서, 본 명세서 전반에 걸쳐 또한 사용되는 바와 같이, 금속 라인 또는 상호연결 라인 재료(및 비아 재료)는 하나 이상의 금속 또는 다른 전도성 구조로 구성된다. 일반적인 예는 구리와 주변 ILD 재료 사이에 장벽 층을 포함하거나 포함하지 않을 수 있는 구리 라인 및 구조를 사용하는 것이다. 본 명세서에 사용된 바와 같이, 금속이라는 용어는 합금, 스택, 및 다수의 금속의 다른 조합을 포함한다. 예를 들어, 금속 상호연결 라인은 장벽 층(예를 들어, Ta, TaN, Ti 또는 TiN 중 하나 이상을 포함하는 층), 상이한 금속 또는 합금의 스택 등을 포함할 수 있다. 따라서, 상호연결 라인은 단일 재료 층일 수 있고, 또는 전도성 라이너 층 및 충진 층을 포함하는 여러 층으로 형성될 수 있다. 전기도금, 화학적 기상 증착 또는 물리적 기상 증착과 같은 임의의 적절한 증착 프로세스가 상호연결 라인을 형성하기 위해 사용될 수 있다. 실시예에서, 상호연결 라인은 Cu, Al, Ti, Zr, Hf, V, Ru, Co, Ni, Pd, Pt, W, Ag, Au 또는 이들의 합금과 같은, 그러나 이에 국한되지 않는 전도성 재료로 구성된다. 상호연결 라인은 또한 본 기술분야에서 트레이스, 와이어, 라인, 금속, 또는 단순히 상호연결부라고도 한다.
실시예에서, 본 명세서 전반에 걸쳐 또한 사용되는 바와 같이, 하드마스크 재료, 캡핑 층, 또는 플러그는 층간 유전체 재료와 상이한 유전체 재료로 구성된다. 일 실시예에서, 상이한 하드마스크, 캡핑 또는 플러그 재료가 상이한 영역에서 사용될 수 있어 서로에 대해 그리고 하부 유전체 및 금속 층에 대해 상이한 성장 또는 에칭 선택도를 제공할 수 있다. 일부 실시예에서, 하드마스크 층, 캡핑 또는 플러그 층은 실리콘의 질화물(예를 들어, 실리콘 질화물) 층 또는 실리콘의 산화물 층, 또는 둘 다, 또는 이들의 조합을 포함한다. 다른 적절한 재료는 탄소 기반 재료를 포함할 수 있다. 특정 구현에 따라 당업계에 공지된 다른 하드마스크, 캡핑 또는 플러그 층이 사용될 수 있다. 하드마스크, 캡핑 또는 플러그 층은 CVD, PVD 또는 다른 증착 방법에 의해 형성될 수 있다.
실시예에서, 본 명세서 전반에 걸쳐 또한 사용되는 바와 같이, 리소그래피 작업은 193nm 침지 리소그래피(i193), EUV 및/또는 EBDW 리소그래피 등을 사용하여 수행된다. 포지티브 톤 또는 네거티브 톤 레지스트가 사용될 수 있다. 일 실시예에서, 리소그래피 마스크는 토포그래픽 마스킹 부분, 반사방지 코팅(ARC) 층, 및 포토레지스트 층으로 구성된 삼중층 마스크이다. 이러한 특정 실시예에서, 토포그래픽 마스킹 부분은 탄소 하드마스크(CHM) 층이고 반사방지 코팅 층은 실리콘 ARC 층이다.
다른 양태에서, 하나 이상의 실시예는 게이트 컷 랜딩 구조에 의해 분리된 이웃하는 반도체 구조 또는 장치에 관한 것이다. 특정 실시예는 게이트 컷 랜딩 구조 아키텍처에서 게이트 컷 랜딩 구조에 의해 분리된 다중 폭(multi-Wsi) 나노와이어 및 나노리본의 통합에 관한 것이다. 실시예에서, 나노와이어/나노리본은 프론트-엔드 프로세스 흐름의 게이트 컷 랜딩 구조 아키텍처 부분에서 다중 Wsi와 통합된다. 이러한 프로세스 흐름은 저전력 및 고성능의 차세대 트랜지스터의 강력한 기능을 제공하기 위해 상이한 Wsi의 나노와이어 및 나노리본의 통합을 포함할 수 있다. 연관된 에피택셜 소스 또는 드레인 영역은 매립될 수 있다(예를 들어, 나노와이어의 일부가 제거된 후 소스 또는 드레인(S/D) 성장이 수행된다).
추가 맥락을 제공하기 위해, 게이트 컷 랜딩 구조 아키텍처의 이점은 더 높은 레이아웃 밀도, 특히 확산 대 확산 공간의 스케일링을 가능하게 하는 것을 포함할 수 있다. 예시적인 비교를 제공하기 위해, 도 9는 본 개시의 실시예에 따라, 비-게이트 컷 랜딩 구조 아키텍처에 대해 나노와이어 및 핀을 통해 취한 단면도를 보여준다. 도 10은 본 개시의 실시예에 따라, 게이트 컷 랜딩 구조 아키텍처에 대해 나노와이어 및 핀을 통해 취한 단면도를 보여준다
도 9를 참조하면, 집적 회로 구조(900)는 핀(904)의 하부 부분을 측방향으로 둘러싸는 격리 구조(908) 위로 분량(906)만큼 돌출된 핀(904)을 갖는 기판(902)을 포함한다. 핀의 상부 부분은 도시된 바와 같이 완화된 버퍼 층(922) 및 결함 수정 층(920)을 포함할 수 있다. 대응하는 나노와이어(905)는 핀(904) 위에 있다. 게이트 구조는 장치를 제조하기 위해 집적 회로 구조(900) 위에 형성될 수 있다. 그러나, 핀(904)/나노와이어(905) 쌍 사이의 간격을 증가시킴으로써 그러한 게이트 구조의 파손이 수용될 수 있다.
대조적으로, 도 10을 참조하면, 집적 회로 구조(1050)는 핀(1054)의 하부 부분을 측방향으로 둘러싸는 격리 구조(1058) 위로 분량(1056)만큼 돌출된 핀(1054)을 갖는 기판(1052)을 포함한다. 핀의 상부 부분은 도시된 바와 같이 완화된 버퍼 층(1072) 및 결함 수정 층(1070)을 포함할 수 있다. 대응하는 나노와이어(1055)는 핀(1054) 위에 있다. 격리 게이트 컷 랜딩 구조(1060)는 격리 구조(1052) 상에 그리고 인접한 핀(1054)/나노와이어(1055) 쌍 사이에 포함된다. 격리 게이트 컷 랜딩 구조(1060)와 가장 가까운 핀(1054)/나노와이어(1055) 쌍 사이의 거리는 게이트 엔드캡 간격(1062)을 정의한다. 게이트 구조는 장치를 제조하기 위해 격리 게이트 컷 랜딩 구조 사이에서 집적 회로 구조(1050) 위에 형성될 수 있다. 이러한 게이트 구조의 파손은 게이트를 컷팅하여 게이트 컷 랜딩 구조 상에 랜딩시킴으로써 부과된다. 본 발명의 일 실시예에 따르면, 도 10과 관련된 구조를 위한 제조 프로세스는 에피택셜 소스 또는 드레인 구조를 갖는 게이트-올-어라운드 집적 회로 구조를 제공하는 프로세스 방식의 사용을 포함한다. 실시예에서, 도 10의 구조는 예컨대, 도 1a-1o, 도 2a-2c, 도 3, 도 4 및 도 6과 관련하여 설명된, 유전체 앵커 상에 랜딩된 금속 게이트 플러그를 포함하는 구조 및/또는 유전체 앵커 및 한정된 에피택셜 소스 또는 드레인 구조를 포함하는 구조와 연계하여 형성될 수 있다.
게이트 컷 랜딩 구조 처리 방식은 마스크 오정렬을 고려하기 위한 추가 길이를 필요로 하지 않고 핀에 자체 정렬된 게이트/트렌치 접촉 엔드캡의 형성을 포함한다. 따라서, 트랜지스터 레이아웃 영역의 축소를 가능하게 하는 실시예가 구현될 수 있다. 본 명세서에 설명된 실시예는 게이트 컷 랜딩 구조, 및 이러한 게이트 컷 랜딩 구조 상에 랜딩하도록 형성된 컷 및 플러그의 제조를 포함할 수 있다.
실시예에서, 명세서 전반에 걸쳐 설명된 바와 같이, 게이트 컷 랜딩 구조는 영구 게이트 구조의 부분들을 서로로부터 궁극적으로 전기적으로 격리시키거나 그러한 격리에 기여하기에 적합한 재료 또는 재료들로 구성될 수 있다. 예시적인 재료 또는 재료 조합은 실리콘 이산화물, 실리콘 산화질화물, 실리콘 질화물, 또는 탄소 도핑된 실리콘 질화물과 같은 단일 재료 구조를 포함한다. 다른 예시적인 재료 또는 재료 조합은 실리콘 이산화물, 실리콘 산질화물, 실리콘 질화물, 또는 탄소 도핑된 실리콘 질화물의 하부 부분 및 하프늄 산화물과 같은 보다 높은 유전 상수 재료의 상부 부분을 갖는 다층 스택을 포함한다.
3개의 수직으로 배열된 나노와이어를 갖는 예시적인 집적 회로 구조를 강조하기 위해, 도 11a는 본 개시의 실시예에 따른 나노와이어 기반 집적 회로 구조의 3차원 단면도를 예시한다. 도 11b는 a-a' 축을 따라 취해진, 도 11a의 나노와이어 기반 집적 회로 구조의 단면 소스 또는 드레인 뷰를 예시한다. 도 11c는 b-b' 축을 따라 취해진, 도 11a의 나노와이어 기반 집적 회로 구조의 단면 채널 뷰를 예시한다.
도 11a를 참조하면, 집적 회로 구조(1100)는 기판(1102) 위에 하나 이상의 수직으로 적층된 나노와이어(1104 세트)를 포함한다. 실시예에서, 도시된 바와 같이, 완화된 버퍼 층(1102C), 결함 수정 층(1102B), 및 하부 기판 부분(1102A)이 기판(1102)에 포함된다. 최하부 나노와이어 아래에 있고 기판(1102)으로부터 형성된 선택적인 핀은 예시를 위해 나노와이어 부분을 강조하기 위해 도시되지 않았다. 본 명세서의 실시예는 단일 와이어 장치 및 다중 와이어 장치 모두를 대상으로 한다. 예로서, 나노와이어(1104A, 1104B 및 1104C)를 갖는 3개의 나노와이어 기반 장치가 예시 목적으로 도시되어 있다. 설명의 편의를 위해, 나노와이어 중 하나를 중심으로 설명하는 경우 나노와이어(1104A)가 일 예로 설명된다. 하나의 나노와이어의 속성이 설명되는 경우, 복수의 나노와이어에 기초한 실시예는 각각의 나노와이어에 대해 동일하거나 본질적으로 동일한 속성을 가질 수 있음을 이해해야 한다.
나노와이어(1104) 각각은 나노와이어에 채널 영역(1106)을 포함한다. 채널 영역(1106)은 길이(L)를 갖는다. 도 11c를 참조하면, 채널 영역은 또한 길이(L)에 직교하는 둘레(Pc)를 갖는다. 도 11a 및 11c를 모두 참조하면, 게이트 전극 스택(1108)은 채널 영역(1106) 각각의 전체 둘레(Pc)를 둘러싼다. 게이트 전극 스택(1108)은 채널 영역(1106)과 게이트 전극(미도시) 사이의 게이트 유전층과 함께 게이트 전극을 포함한다. 실시예에서, 채널 영역은 아래에 놓이는 기판 재료 또는 위에 놓이는 채널 제조 재료와 같은 임의의 개재 재료 없이 게이트 전극 스택(1108)에 의해 완전히 둘러싸여 있다는 점에서 이산적이다. 따라서, 복수의 나노와이어(1104)를 갖는 실시예에서, 나노와이어의 채널 영역(1106)은 또한 서로에 대해 이산적이다.
도 11a 및 도 11b 모두를 참조하면, 집적 회로 구조(1100)는 한 쌍의 비-이산적 소스 또는 드레인 영역(1110/1112)을 포함한다. 한 쌍의 비-이산적 소스 또는 드레인 영역(1110/1112)은 복수의 수직으로 적층된 나노와이어(1104)의 채널 영역(1106)의 어느 한 쪽에 있다. 또한, 한 쌍의 비-이산적 소스 또는 드레인 영역(1110/1112)은 복수의 수직으로 적층된 나노와이어(1104)의 채널 영역(1106)에 인접한다. 하나의 이러한 실시예에서, 도시되지는 않았지만, 한 쌍의 비-이산적 소스 또는 드레인 영역(1110/1112)은 에피택셜 성장이 채널 영역(1106) 너머로 연장되는 나노와이어 부분들 상에 그리고 그들 사이에서 이루어진다는 점에서 채널 영역(1106)에 대해 수직으로 직접 인접하며, 여기서 나노와이어 단부는 소스 또는 드레인 구조 내에 도시된다. 다른 실시예에서, 도 11a에 도시된 바와 같이, 한 쌍의 비-이산적 소스 또는 드레인 영역(1110/1112)은 이들이 나노와이어 사이가 아니라 나노와이어의 단부에 형성된다는 점에서 채널 영역(1106)에 대해 간접적으로 수직으로 인접한다.
실시예에서, 도시된 바와 같이, 소스 또는 드레인 영역(1110/1112)은 나노와이어(1104)의 각 채널 영역(1106)에 대한 개별적 및 이산적 소스 또는 드레인 영역이 없다는 점에서 비-이산적이다. 따라서, 복수의 나노와이어(1104)를 갖는 실시예에서, 나노와이어의 소스 또는 드레인 영역(1110/1112)은 각각의 나노와이어에 대해 이산적인 것과 대조적으로 전역적 또는 통합된 소스 또는 드레인 영역이다. 즉, 단일 통합 피처가 복수(이 경우 3)의 나노와이어(1104)에 대한 소스 또는 드레인 영역으로서, 또한 보다 구체적으로는 둘 이상의 이산적 채널 영역(1106)에 대해 사용된다는 점에서 비-이산적 소스 또는 드레인 영역(1110/1112)은 전역적이다. 일 실시예에서, 이산 채널 영역(1106)의 길이에 직교하는 단면 관점에서 봤을 때, 도 11b에 도시된 바와 같이, 한 쌍의 비-이산적 소스 또는 드레인 영역(1110/1112) 각각은 모양이 대략 직사각형인데, 바닥 부분은 테이퍼형이고, 상단 부분은 꼭지점 형태이다. 그러나, 다른 실시예에서, 나노와이어의 소스 또는 드레인 영역(1110/1112)은 도 7a 내지 도 7j와 관련하여 설명된 너브(nub)와 같이 비교적 더 크지만 별개의 비-수직으로 병합된 에피택셜 구조이다.
본 개시의 실시예에 따르면, 그리고 도 11a 및 11b에 도시된 바와 같이, 집적 회로 구조(1100)는 한 쌍의 접촉부(1114)를 더 포함하고, 각 접촉부(1114)는 한 쌍의 비-이산적 소스 또는 드레인 영역(1110/1112) 중 하나 상에 있다. 하나의 그러한 실시예에서, 수직적 의미에서, 각각의 접촉부(1114)는 각각의 비-이산적 소스 또는 드레인 영역(1110/1112)을 완전히 둘러싼다. 다른 양태에서, 도 11b에 도시된 바와 같이, 비-이산적 소스 또는 드레인 영역(1110/1112)의 전체 둘레는 접촉부(1114)와 접촉하는데 접근 가능하지 않을 수 있으며, 따라서 접촉부(1114)는 비-이산적 소스 또는 드레인 영역(1110/1112)을 부분적으로만 둘러싼다. 도시되지 않은 대조적인 실시예에서, a-a' 축을 따라 취해진 비-이산적 소스 또는 드레인 영역(1110/1112)의 전체 둘레는 접촉부(1114)에 의해 둘러싸여 있다.
도 11a를 다시 참조하면, 실시예에서, 집적 회로 구조(1100)는 한 쌍의 스페이서(1116)를 더 포함한다. 도시된 바와 같이, 한 쌍의 스페이서(1116)의 외부 부분은 비-이산적 소스 또는 드레인 영역(1110/1112)의 부분과 겹칠 수 있어, 한 쌍의 스페이서(1116) 아래에 비-이산적 소스 또는 드레인 영역(1110/1112)의 "임베디드" 부분을 제공한다. 또한 도시된 바와 같이, 비-이산적 소스 또는 드레인 영역(1110/1112)의 임베디드 부분은 한 쌍의 스페이서(1116) 전체 아래로 연장되지 않는다.
기판(1102)은 집적 회로 구조 제조에 적합한 재료로 구성될 수 있다. 일 실시예에서, 기판(1102)은 실리콘, 게르마늄, 실리콘-게르마늄, 게르마늄-주석, 실리콘-게르마늄-주석, 또는 III-V족 화합물 반도체 재료를 포함할 수 있지만 이에 제한되지 않는 재료의 단결정으로 구성된 하부 벌크 기판을 포함한다. 실리콘 이산화물, 실리콘 질화물 또는 실리콘 산질화물을 포함할 수 있지만 이에 제한되지 않는 재료로 구성된 상부 절연체 층은 하부 벌크 기판 상에 있다. 따라서, 구조(1100)는 시작하는 반도체-온-절연체 기판으로부터 제조될 수 있다. 대안적으로, 구조(1100)는 벌크 기판으로부터 직접 형성되고 국부적인 산화가 사용되어 전술한 상부 절연체 층 대신에 전기 절연 부분을 형성한다. 다른 대안적인 실시예에서, 구조(1100)는 벌크 기판으로부터 직접 형성되고, 도핑이 사용되어 그 위에 나노와이어와 같은 전기적으로 격리된 활성 영역을 형성한다. 그러한 일 실시예에서, 제1 나노와이어(즉, 기판에 근접한)는 오메가-FET 유형 구조의 형태를 갖는다.
일 실시예에서, 나노와이어(1104)는 후술되는 바와 같은 와이어 또는 리본의 크기를 가질 수 있고, 각진 모서리 또는 둥근 모서리를 가질 수 있다. 실시예에서, 나노와이어(1104)는 실리콘, 게르마늄, 또는 이들의 조합과 같으나 이에 제한되지 않는 재료로 구성된다. 그러한 일 실시예에서, 나노와이어는 단결정이다. 예를 들어, 실리콘 나노와이어(1104)의 경우, 단결정 나노와이어는 (100) 전역 배향, 예를 들어 z-방향의 <100> 평면을 기반으로 할 수 있다. 아래에 설명된 바와 같이, 다른 방향도 고려될 수 있다. 실시예에서, 단면 관점에서 나노와이어(1104)의 치수는 나노 규모이다. 예를 들어, 특정 실시예에서, 나노와이어(1104)의 최소 치수는 대략 20 나노미터 미만이다. 실시예에서, 나노와이어(1104)는 특히 채널 영역(1106)에서 압박을 받는 물질로 구성된다.
도 11c를 참조하면, 실시예에서, 각각의 채널 영역(1106)은 폭(Wc) 및 높이(Hc)를 가지며, 폭(Wc)은 높이(Hc)와 거의 동일하다. 즉, 두 경우 모두에서, 채널 영역(1106)은 단면 프로파일이 정사각형이거나 모서리가 둥근 경우 원형과 같다. 다른 양태에서, 채널 영역의 폭 및 높이는 명세서 전반에 걸쳐 기술된 바와 같은 나노리본의 경우와 같이 동일할 필요는 없다.
실시예에서, 명세서 전반에 걸쳐 설명된 바와 같이, 집적 회로 구조는 finFET 또는 대응하는 하나 이상의 위에 놓인 나노와이어 구조를 갖는 삼중 게이트 장치와 같은 비평면 장치를 포함하지만 이에 제한되지는 않는다. 이러한 실시예에서, 대응하는 반도체 채널 영역은 3차원 본체로 구성되거나 형성되는데, 이 3차원 본체는 자신 위에 놓이는 하나 이상의 개별 나노와이어 채널 부분을 갖는다. 그러한 일 실시예에서, 게이트 구조는 3차원 본체의 적어도 상부 표면 및 한 쌍의 측벽을 둘러싸고, 하나 이상의 개별 나노와이어 채널 부분 각각을 더 둘러싼다.
실시예에서, 도 11a-11c의 구조는 예컨대, 도 1a-1o, 도 2a-2c, 도 3, 도 4 및 도 6과 관련하여 설명된, 유전체 앵커 상에 랜딩된 금속 게이트 플러그를 포함하는 구조 및/또는 유전체 앵커 및 한정된 에피택셜 소스 또는 드레인 구조를 포함하는 구조와 연계하여 형성될 수 있다.
실시예에서, 명세서 전반에 걸쳐 설명된 바와 같이, 하부 기판은 제조 프로세스를 견딜 수 있고 전하가 이동할 수 있는 반도체 재료로 구성될 수 있다. 실시예에서, 기판은 활성 영역을 형성하기 위해 인, 비소, 붕소, 갈륨 또는 이들의 조합과 같은(이에 국한되지 않음) 전하 캐리어로 도핑된 결정질 실리콘, 실리콘/게르마늄 또는 게르마늄 층으로 구성된 벌크 기판이다. 일 실시예에서, 벌크 기판 내의 실리콘 원자의 농도는 97% 초과이다. 또 다른 실시예에서, 벌크 기판은 별개의 결정질 기판 위에 성장된 에피택셜 층, 예를 들어, 붕소 도핑된 벌크 실리콘 단결정 기판 위에 성장된 실리콘 에피택셜 층으로 구성된다. 벌크 기판은 대안적으로 III-V족 재료로 구성될 수 있다. 실시예에서, 벌크 기판은 갈륨 질화물, 갈륨 인화물, 갈륨 비화물, 인듐 인화물, 안티몬화인듐, 인듐 갈륨 비화물, 알루미늄 갈륨 비화물, 인듐 갈륨 인화물, 또는 이들의 조합과 같은, 그러나 이에 국한되지 않는 III-V족 재료로 구성된다. 일 실시예에서, 벌크 기판은 III-V족 재료로 구성되고, 전하 캐리어 도펀트 불순물 원자는 탄소, 실리콘, 게르마늄, 산소, 황, 셀레늄 또는 텔루르와 같으나 이에 제한되지 않는 것들이다.
본원에 개시된 실시예는 매우 다양한 상이한 유형의 집적 회로 및/또는 마이크로전자 장치를 제조하는 데 사용될 수 있다. 이러한 집적 회로의 예는 프로세서, 칩셋 컴포넌트, 그래픽 프로세서, 디지털 신호 프로세서, 마이크로컨트롤러 등을 포함하지만 이에 제한되지는 않는다. 다른 실시예에서, 반도체 메모리가 제조될 수 있다. 더욱이, 집적 회로 또는 다른 마이크로전자 장치는 당업계에 공지된 매우 다양한 전자 장치에 사용될 수 있다. 예를 들어, 이들은 컴퓨터 시스템(예컨대, 데스크탑, 랩톱, 서버), 휴대폰, 개인용 전자 제품 등에서 사용될 수 있다. 집적 회로는 시스템의 버스 및 기타 컴포넌트와 결합될 수 있다. 예를 들어, 프로세서는 하나 이상의 버스에 의해 메모리, 칩셋 등에 연결될 수 있다. 프로세서, 메모리, 및 칩셋 각각은 잠재적으로 본 명세서에 개시된 접근 방식을 사용하여 제조될 수 있다.
도 12는 본 개시의 실시예의 일 구현에 따른 컴퓨팅 장치(1200)를 예시한다. 컴퓨팅 장치(1200)는 보드(1202)를 수용한다. 보드(1202)는 프로세서(1204) 및 적어도 하나의 통신 칩(1206)을 포함하지만 이에 제한되지 않는 다수의 컴포넌트를 포함할 수 있다. 프로세서(1204)는 보드(1202)에 물리적 및 전기적으로 결합된다. 일부 구현에서, 적어도 하나의 통신 칩(1206)은 또한 보드(1202)에 물리적으로 전기적으로 연결된다. 다른 구현에서, 통신 칩(1206)은 프로세서(1204)의 일부이다.
해당 애플리케이션에 따라, 컴퓨팅 장치(1200)는 보드(1202)에 물리적으로 전기적으로 연결되거나 연결되지 않을 수 있는 다른 컴포넌트를 포함할 수 있다. 이러한 다른 컴포넌트는 휘발성 메모리(예컨대, DRAM), 비휘발성 메모리(예컨대, ROM), 플래시 메모리, 그래픽 프로세서, 디지털 신호 프로세서, 암호 프로세서, 칩셋, 안테나, 디스플레이, 터치스크린 디스플레이, 터치스크린 컨트롤러, 배터리, 오디오 코덱, 비디오 코덱, 전력 증폭기, GPS(Global Positioning System) 장치, 나침반, 가속도계, 자이로스코프, 스피커, 카메라 및 대용량 저장 장치(예컨대, 하드 디스크 드라이브, 컴팩트 디스크(CD), 디지털 다목적 디스크(DVD) 등)를 포함하나 이에 국한되지 않는다.
통신 칩(1206)은 컴퓨팅 장치(1200)로 및 그로부터의 데이터 전송을 위한 무선 통신을 가능하게 한다. 용어 "무선" 및 그 파생어는 비고체 매체를 통해 변조된 전자기 복사를 사용하여 데이터를 전달할 수 있는 회로, 장치, 시스템, 방법, 기술, 통신 채널 등을 설명하는 데 사용될 수 있다. 이 용어는 연관된 장치가 어떤 와이어도 포함하지 않는다는 것을 의미하지 않지만 일부 실시예에서는 그렇지 않을 수 있다. 통신 칩(1206)은 Wi-Fi(IEEE 802.11 제품군), WiMAX(IEEE 802.16 제품군), IEEE 802.20, LTE(Long Term Evolution), Ev-DO, HSPA+, HSDPA+, HSUPA+, EDGE, GSM, GPRS, CDMA, TDMA, DECT, 블루투스, 그 파생물, 및 3G, 4G, 5G 및 그 이상으로 지정된 기타 무선 프로토콜을 포함하지만 이에 제한되지 않는 다수의 무선 표준 또는 프로토콜 중 임의의 것을 구현할 수 있다. 컴퓨팅 장치(1200)는 복수의 통신 칩(1206)을 포함할 수 있다. 예를 들어, 제1 통신 칩(1206)은 Wi-Fi 및 블루투스와 같은 단거리 무선 통신 전용일 수 있고 제2 통신 칩(1206)은 GPS, EDGE, GPRS, CDMA, WiMAX, LTE, Ev-DO 등과 같은 장거리 무선 통신 전용일 수 있다.
컴퓨팅 장치(1200)의 프로세서(1204)는 프로세서(1204) 내에 패키징된 집적 회로 다이를 포함한다. 프로세서(1204)의 집적 회로 다이는 본 개시의 실시예의 구현에 따라 구성된 유전체 앵커를 구비한 게이트-올-어라운드 집적 회로 구조 및/또는 유전체 앵커 및 한정된 에피택셜 소스 또는 드레인 구조와 같은 하나 이상의 구조를 포함할 수 있다. "프로세서"라는 용어는 레지스터 및/또는 메모리의 전자 데이터를 처리하여 해당 전자 데이터를 레지스터 및/또는 메모리에 저장될 수 있는 다른 전자 데이터로 변환하는 임의의 장치 또는 장치의 일부를 나타낼 수 있다.
통신 칩(1206)은 또한 통신 칩(1206) 내에 패키징된 집적 회로 다이를 포함할 수 있다. 통신 칩(1206)의 집적 회로 다이는 본 개시의 실시예의 구현에 따라 구성된 유전체 앵커를 구비한 게이트-올-어라운드 집적 회로 구조 및/또는 유전체 앵커 및 한정된 에피택셜 소스 또는 드레인 구조와 같은 하나 이상의 구조를 포함할 수 있다.
추가 구현에서, 컴퓨팅 장치(1200) 내에 수용된 다른 컴포넌트는 본 개시의 실시예의 구현에 따라 구성된 유전체 앵커를 구비한 게이트-올-어라운드 집적 회로 구조 및/또는 유전체 앵커 및 한정된 에피택셜 소스 또는 드레인 구조와 같은 하나 이상의 구조를 포함할 수 있다.
다양한 구현에서, 컴퓨팅 장치(1200)은 랩탑, 넷북, 노트북, 울트라북, 스마트폰, 태블릿, 개인 휴대 정보 단말기(PDA), 울트라 모바일 PC, 모바일 폰, 데스크탑 컴퓨터, 서버, 프린터, 스캐너, 모니터, 셋톱 박스, 엔터테인먼트 제어 장치, 디지털 카메라, 휴대용 음악 플레이어 또는 디지털 비디오 레코더일 수 있다. 추가 구현에서, 컴퓨팅 장치(1200)는 데이터를 처리하는 임의의 다른 전자 장치일 수 있다.
도 13은 본 개시의 하나 이상의 실시예를 포함하는 인터포저(1300)를 예시한다. 인터포저(1300)는 제1 기판(1302)을 제2 기판(1304)에 브리지하는데 사용되는 개재 기판이다. 제1 기판(1302)은 예를 들어 집적 회로 다이일 수 있다. 제2 기판(1304)은, 예를 들어, 메모리 모듈, 컴퓨터 마더보드, 또는 다른 집적 회로 다이일 수 있다. 일반적으로, 인터포저(1300)의 목적은 소정의 연결을 더 넓은 피치로 확산시키거나 소정의 연결을 다른 연결로 재라우팅하는 것이다. 예를 들어, 인터포저(1300)는 제2 기판(1304)에 후속적으로 결합될 수 있는 볼 그리드 어레이(BGA)(1306)에 집적 회로 다이를 결합할 수 있다. 일부 실시예에서, 제1 및 제2 기판(1302/1304)은 인터포저(1300)의 대향하는 면에 부착된다. 다른 실시예에서, 제1 및 제2 기판(1302/1304)은 인터포저(1300)의 동일한 면에 부착된다. 그리고 추가 실시예에서, 3개 이상의 기판이 인터포저(1300)를 통해 상호연결된다.
인터포저(1300)는 에폭시 수지, 유리 섬유 강화 에폭시 수지, 세라믹 재료, 또는 폴리이미드와 같은 폴리머 재료로 형성될 수 있다. 추가 구현에서, 인터포저(1300)는 실리콘, 게르마늄, 및 다른 III-V족 및 IV족 재료와 같은 반도체 기판에 사용하기 위한 위에서 설명된 동일한 재료를 포함할 수 있는 대안적인 강성 또는 가요성 재료로 형성될 수 있다.
인터포저(1300)는 금속 상호연결(1308) 및 비아(1310)를 포함할 수 있으며, 이는 실리콘 관통 비아(TSV)(1312)를 포함하나 이에 제한되지는 않는다. 인터포저(1300)는 수동 및 능동 장치 모두를 포함하는 임베디드 장치(1314)를 더 포함할 수 있다. 이러한 장치는 커패시터, 디커플링 커패시터, 저항기, 인덕터, 퓨즈, 다이오드, 변압기, 센서 및 정전기 방전(ESD) 장치를 포함하지만 이에 국한되지는 않는다. 무선 주파수(RF) 장치, 전력 증폭기, 전력 관리 장치, 안테나, 어레이, 센서 및 MEMS 장치와 같은 더 복잡한 장치가 인터포저(1300) 상에 형성될 수도 있다. 본 개시의 실시예에 따르면, 본 명세서에서 개시된 장치 또는 프로세스는 인터포저(1300)의 제조 또는 인터포저(1300)에 포함된 컴포넌트의 제조에 사용될 수 있다.
따라서, 본 개시의 실시예는 유전체 앵커 및 한정된 에피택셜 소스 또는 드레인 구조를 갖는 집적 회로 구조, 및 유전체 앵커 및 한정된 에피택셜 소스 또는 드레인 구조를 갖는 집적 회로 구조를 제조하는 방법을 포함한다.
요약서에 설명된 것을 포함하여 본 개시의 실시예의 예시된 구현에 대한 위의 설명은 완전한 것으로 의도되지 않고 또는 본 개시를 개시된 그 형태 그대로 개제한하려는 의도는 없다. 본 개시의 특정 구현 및 예가 예시의 목적으로 본 명세서에 설명되어 있지만, 관련 기술분야의 통상의 기술자가 인식하는 바와 같이 본 개시의 범위 내에서 다양한 등가 변형이 가능하다.
이러한 수정은 위의 상세한 설명에 비추어 본 개시에 대해 이루어질 수 있다. 다음 청구범위에 사용된 용어는 본 명세서 및 청구범위에 개시된 특정 구현으로 본 개시를 제한하는 것으로 해석되어서는 안 된다. 오히려, 본 개시의 범위는 확립된 청구항 해석의 교리에 따라 해석되어야 하는 다음 청구 범위에 의해 전적으로 결정되어야 한다.
예시적인 실시예 1: 집적 회로 구조는 얕은 트렌치 격리(STI) 구조에 서브-핀을 포함한다. 수평으로 적층된 복수의 나노와이어가 서브핀 위에 있다. 게이트 유전체 재료층은 수평으로 적층된 복수의 나노와이어를 둘러싼다. 게이트 전극 구조는 게이트 유전체 재료층 위에 있다. 한정된 에피택셜 소스 또는 드레인 구조는 수평으로 적층된 복수의 나노와이어의 끝에 있다. 유전체 앵커는 수평으로 적층된 복수의 나노와이어로부터 측방향으로 이격되고 STI 구조의 제1 부분 내로 리세스되며, 유전체 앵커는 한정된 에피택셜 소스 또는 드레인 구조의 최상부 표면 아래의 최상부 표면을 갖는다.
예시적인 실시예 2: 예시적인 실시예 1의 집적 회로 구조로서, 유전체 앵커 맞은편에 있는 수평으로 적층된 복수의 나노와이어의 측면 상의 STI 구조의 제2 부분은 내부에 트렌치를 갖는다.
예시적인 실시예 3: 예시적인 실시예 1 또는 2의 집적 회로 구조로서, 유전체 앵커의 최상부 표면은 수평으로 적층된 복수의 나노와이어의 최상부 표면 아래에 있다.
예시적인 실시예 4: 예시적인 실시예 1, 2 또는 3의 집적 회로 구조는 유전체 앵커 상에 유전체 게이트 플러그를 더 포함한다.
예시적인 실시예 5: 예시적인 실시예 4의 집적 회로 구조로서, 유전체 게이트 플러그는 유전체 앵커로부터 수직으로 오프셋된다.
예시적인 실시예 6: 집적 회로 구조는 얕은 트렌치 격리(STI) 구조 위로 돌출된 부분을 갖는 핀을 포함한다. 게이트 유전체 재료층이 핀의 돌출 부분 위에 있다. 게이트 전극 구조는 게이트 유전체 재료층 위에 있다. 한정된 에피택셜 소스 또는 드레인 구조는 핀의 끝에 있다. 유전체 앵커는 핀으로부터 측방향으로 이격되고 STI 구조의 제1 부분 내로 리세스되며, 유전체 앵커는 한정된 에피택셜 소스 또는 드레인 구조의 최상부 표면 아래의 최상부 표면을 갖는다.
예시적인 실시예 7: 예시적인 실시예 6의 집적 회로 구조로서, 유전체 앵커 맞은편에 있는 핀의 측면 상의 STI 구조의 제2 부분은 내부에 트렌치를 갖는다.
예시적인 실시예 8: 예시적인 실시예 6 또는 7의 집적 회로 구조로서, 유전체 앵커의 최상부 표면은 핀의 최상부 표면 아래에 있다.
예시적인 실시예 9: 예시적인 실시예 6, 7 또는 8의 집적 회로 구조는 유전체 앵커 상에 유전체 게이트 플러그를 더 포함한다.
예시적인 실시예 10: 예시적인 실시예 9의 집적 회로 구조로서, 유전체 게이트 플러그는 유전체 앵커로부터 수직으로 오프셋된다.
예시적인 실시예 11: 컴퓨팅 장치는 보드, 및 보드에 결합된 컴포넌트를 포함한다. 컴포넌트는 얕은 트렌치 격리(STI) 구조의 서브-핀을 포함하는 집적 회로 구조를 포함한다. 수평으로 적층된 복수의 나노와이어가 서브핀 위에 있다. 게이트 유전체 재료층은 수평으로 적층된 복수의 나노와이어를 둘러싼다. 게이트 전극 구조는 게이트 유전체 재료층 위에 있다. 한정된 에피택셜 소스 또는 드레인 구조는 수평으로 적층된 복수의 나노와이어의 끝에 있다. 유전체 앵커는 수평으로 적층된 복수의 나노와이어로부터 측방향으로 이격되고 STI 구조의 제1 부분 내로 리세스되며, 유전체 앵커는 한정된 에피택셜 소스 또는 드레인 구조의 최상부 표면 아래의 최상부 표면을 갖는다.
예시적인 실시예 12: 예시적인 실시예 11의 컴퓨팅 장치로서, 보드에 결합된 메모리를 더 포함한다.
예시적인 실시예 13: 예시적인 실시예 11 또는 12의 컴퓨팅 장치로서, 보드에 결합된 통신 칩을 더 포함한다.
예시적인 실시예 14: 예시적인 실시예 11, 12 또는 13의 컴퓨팅 장치로서, 컴포넌트는 패키징된 집적 회로 다이이다.
예시적인 실시예 15: 예시적인 실시예 11, 12, 13 또는 14의 컴퓨팅 장치로서, 컴포넌트는 프로세서, 통신 칩, 및 디지털 신호 프로세서로 이루어진 그룹으로부터 선택된다.
예시적인 실시예 16: 컴퓨팅 장치는 보드, 및 보드에 결합된 컴포넌트를 포함한다. 컴포넌트는 얕은 트렌치 격리(STI) 구조 위로 돌출된 부분을 갖는 핀을 포함하는 집적 회로 구조를 포함한다. 게이트 유전체 재료층이 핀의 돌출 부분 위에 있다. 게이트 전극 구조는 게이트 유전체 재료층 위에 있다. 한정된 에피택셜 소스 또는 드레인 구조는 핀의 끝에 있다. 유전체 앵커는 핀으로부터 측방향으로 이격되고 STI 구조의 제1 부분 내로 리세스되며, 유전체 앵커는 한정된 에피택셜 소스 또는 드레인 구조의 최상부 표면 아래의 최상부 표면을 갖는다.
예시적인 실시예 17: 예시적인 실시예 16의 컴퓨팅 장치로서, 보드에 결합된 메모리를 더 포함한다.
예시적인 실시예 18: 예시적인 실시예 16 또는 17의 컴퓨팅 장치로서, 보드에 결합된 통신 칩을 더 포함한다.
예시적인 실시예 19: 예시적인 실시예 16, 17 또는 18의 컴퓨팅 장치로서, 컴포넌트는 패키징된 집적 회로 다이이다.
예시적인 실시예 20: 예시적인 실시예 16, 17, 18 또는 19의 컴퓨팅 장치로서, 컴포넌트는 프로세서, 통신 칩, 및 디지털 신호 프로세서로 이루어진 그룹으로부터 선택된다.

Claims (20)

  1. 집적 회로 구조로서,
    얕은 트렌치 격리(shallow trench isolation: STI) 구조 내의 서브-핀(sub-fin)과,
    상기 서브-핀 위에 있는 수평으로 적층된 복수의 나노와이어와,
    상기 수평으로 적층된 복수의 나노와이어를 둘러싸는 게이트 유전체 재료층과,
    상기 게이트 유전체 재료층 위에 있는 게이트 전극 구조와,
    상기 수평으로 적층된 복수의 나노와이어의 끝에 있는 한정된(confined) 에피택셜 소스 또는 드레인 구조와,
    상기 수평으로 적층된 복수의 나노와이어로부터 측방향으로 이격되고 상기 STI 구조의 제1 부분 내로 리세스되는 유전체 앵커- 상기 유전체 앵커는 상기 한정된 에피택셜 소스 또는 드레인 구조의 최상부 표면 아래의 최상부 표면을 가짐 -를 포함하는
    집적 회로 구조.
  2. 제1항에 있어서,
    상기 유전체 앵커 맞은편에 있는 상기 수평으로 적층된 복수의 나노와이어의 측면 상의 상기 STI 구조의 제2 부분은 내부에 트렌치를 갖는
    집적 회로 구조.
  3. 제1항 또는 제2항에 있어서,
    상기 유전체 앵커의 최상부 표면은 상기 수평으로 적층된 복수의 나노와이어의 최상부 표면 아래에 있는
    집적 회로 구조.
  4. 제1항 또는 제2항에 있어서,
    상기 유전체 앵커 상에 유전체 게이트 플러그를 더 포함하는
    집적 회로 구조.
  5. 제4항에 있어서,
    상기 유전체 게이트 플러그는 상기 유전체 앵커로부터 수직으로 오프셋된
    집적 회로 구조.
  6. 집적 회로 구조로서,
    얕은 트렌치 격리(STI) 구조 위로 돌출된 부분을 갖는 핀(fin)과,
    상기 핀의 돌출 부분 위에 있는 게이트 유전체 재료층과,
    상기 게이트 유전체 재료층 위에 있는 게이트 전극 구조와,
    상기 핀의 끝에 있는 한정된 에피택셜 소스 또는 드레인 구조와,
    상기 핀으로부터 측방향으로 이격되고 상기 STI 구조의 제1 부분 내로 리세스된 유전체 앵커- 상기 유전체 앵커는 상기 한정된 에피택셜 소스 또는 드레인 구조의 최상부 표면 아래의 최상부 표면을 가짐 -를 포함하는
    집적 회로 구조.
  7. 제6항에 있어서,
    상기 유전체 앵커 맞은편에 있는 상기 핀의 측면 상의 상기 STI 구조의 제2 부분은 내부에 트렌치를 갖는
    집적 회로 구조.
  8. 제6항 또는 제7항에 있어서,
    상기 유전체 앵커의 최상부 표면은 상기 핀의 최상부 표면 아래에 있는
    집적 회로 구조.
  9. 제6항 또는 제7항에 있어서,
    상기 유전체 앵커 상에 유전체 게이트 플러그를 더 포함하는
    집적 회로 구조.
  10. 제9항에 있어서,
    상기 유전체 게이트 플러그는 상기 유전체 앵커로부터 수직으로 오프셋된
    집적 회로 구조.
  11. 컴퓨팅 장치로서,
    보드와,
    상기 보드에 결합된 컴포넌트를 포함하되,
    상기 컴포넌트는,
    얕은 트렌치 격리(STI) 구조의 서브-핀과,
    상기 서브-핀 위에 있는 수평으로 적층된 복수의 나노와이어와,
    상기 수평으로 적층된 복수의 나노와이어를 둘러싸는 게이트 유전체 재료층과,
    상기 게이트 유전체 재료층 위에 있는 게이트 전극 구조와,
    상기 수평으로 적층된 복수의 나노와이어의 끝에 있는 한정된 에피택셜 소스 또는 드레인 구조와,
    상기 수평으로 적층된 복수의 나노와이어로부터 측방향으로 이격되고 상기 STI 구조의 제1 부분 내로 리세스되는 유전체 앵커- 상기 유전체 앵커는 상기 한정된 에피택셜 소스 또는 드레인 구조의 최상부 표면 아래의 최상부 표면을 가짐 -을 포함하는
    컴퓨팅 장치.
  12. 제11항에 있어서,
    상기 보드에 결합된 메모리를 더 포함하는
    컴퓨팅 장치.
  13. 제11항 또는 제12항에 있어서,
    상기 보드에 결합된 통신 칩을 더 포함하는
    컴퓨팅 장치.
  14. 제11항 또는 제12항에 있어서,
    상기 컴포넌트는 패키징된 집적 회로 다이인
    컴퓨팅 장치.
  15. 제11항 또는 제12항에 있어서,
    상기 컴포넌트는 프로세서, 통신 칩, 및 디지털 신호 프로세서로 이루어진 그룹으로부터 선택된
    컴퓨팅 장치.
  16. 컴퓨팅 장치로서,
    보드와,
    상기 보드에 결합된 컴포넌트를 포함하되,
    상기 컴포넌트는
    얕은 트렌치 격리(STI) 구조 위로 돌출된 부분을 갖는 핀과,
    상기 핀의 돌출 부분 위에 있는 게이트 유전체 재료층과,
    상기 게이트 유전체 재료층 위에 있는 게이트 전극 구조와,
    상기 핀의 끝에 있는 한정된 에피택셜 소스 또는 드레인 구조와,
    상기 핀으로부터 측방향으로 이격되고 상기 STI 구조의 제1 부분 내로 리세스된 유전체 앵커- 상기 유전체 앵커는 상기 한정된 에피택셜 소스 또는 드레인 구조의 최상부 표면 아래의 최상부 표면을 가짐 -를 포함하는
    컴퓨팅 장치.
  17. 제16항에 있어서,
    상기 보드에 결합된 메모리를 더 포함하는
    컴퓨팅 장치.
  18. 제16항 또는 제17항에 있어서,
    상기 보드에 결합된 통신 칩을 더 포함하는
    컴퓨팅 장치.
  19. 제16항 또는 제17항에 있어서,
    상기 컴포넌트는 패키징된 집적 회로 다이인
    컴퓨팅 장치.
  20. 제16항 또는 제17항에 있어서,
    상기 컴포넌트는 프로세서, 통신 칩, 및 디지털 신호 프로세서로 이루어진 그룹으로부터 선택된
    컴퓨팅 장치.
KR1020220152652A 2021-12-16 2022-11-15 유전체 앵커 및 한정된 에피택셜 소스 또는 드레인 구조를 갖는 집적 회로 구조 KR20230091776A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US17/553,161 2021-12-16
US17/553,161 US20230197854A1 (en) 2021-12-16 2021-12-16 Integrated circuit structures having dielectric anchor and confined epitaxial source or drain structure

Publications (1)

Publication Number Publication Date
KR20230091776A true KR20230091776A (ko) 2023-06-23

Family

ID=84052994

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020220152652A KR20230091776A (ko) 2021-12-16 2022-11-15 유전체 앵커 및 한정된 에피택셜 소스 또는 드레인 구조를 갖는 집적 회로 구조

Country Status (5)

Country Link
US (2) US20230197854A1 (ko)
EP (2) EP4325580A2 (ko)
KR (1) KR20230091776A (ko)
CN (2) CN117727759A (ko)
TW (1) TW202341415A (ko)

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10943830B2 (en) * 2017-08-30 2021-03-09 Taiwan Semiconductor Manufacturing Co., Ltd. Self-aligned structure for semiconductor devices
US10510620B1 (en) * 2018-07-27 2019-12-17 GlobalFoundries, Inc. Work function metal patterning for N-P space between active nanostructures
US10867867B2 (en) * 2019-03-14 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of fabricating semiconductor devices with mixed threshold voltages boundary isolation of multiple gates and structures formed thereby
US11139379B2 (en) * 2020-01-16 2021-10-05 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure and method for forming the same
US11329165B2 (en) * 2020-02-26 2022-05-10 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device with isolation structure

Also Published As

Publication number Publication date
TW202341415A (zh) 2023-10-16
EP4199044A1 (en) 2023-06-21
EP4325580A2 (en) 2024-02-21
CN117727759A (zh) 2024-03-19
US20240154037A1 (en) 2024-05-09
CN116266590A (zh) 2023-06-20
US20230197854A1 (en) 2023-06-22

Similar Documents

Publication Publication Date Title
US20230058558A1 (en) Gate-all-around integrated circuit structures having source or drain structures with epitaxial nubs
TW202105676A (zh) 具有嵌入式GeSnB源極或汲極結構的環繞式閘極積體電路結構
TW202129971A (zh) 具有低長寬比隔離結構和子鰭的環繞式閘極積體電路結構
CN114256232A (zh) 具有间隔体沉积前切割栅极的全环栅集成电路结构的制造
US20240006504A1 (en) Gate-all-around integrated circuit structures having adjacent structures for sub-fin electrical contact
US20240145471A1 (en) Gate-all-around integrated circuit structures having devices with source/drain-to-substrate electrical contact
EP4202995A1 (en) Integrated circuit structures having dielectric gate plug landed on dielectric anchor
KR20230042627A (ko) 유전체 게이트 벽 및 유전체 게이트 플러그를 갖는 집적 회로 구조
KR20220037951A (ko) 인접 아일랜드 구조체들을 갖는 게이트-올-어라운드 집적 회로 구조체들의 제조
CN110970424A (zh) 使用自底向上方法的具有减少填充的沟道结构的全环绕栅极集成电路结构
KR20230091776A (ko) 유전체 앵커 및 한정된 에피택셜 소스 또는 드레인 구조를 갖는 집적 회로 구조
US20240145568A1 (en) Integrated circuit structures having dielectric anchor void
US20230187494A1 (en) Integrated circuit structures having maximized channel sizing
US20230187444A1 (en) Integrated circuit structures having gate cut offset
US20230299165A1 (en) Fabrication of gate-all-around integrated circuit structures having pre-spacer-deposition wide cut gates with non-merged spacers
US20230187515A1 (en) Integrated circuit structures having versatile channel placement
US20240105716A1 (en) Integrated circuit structures having uniform grid metal gate and trench contact plug
US20220093598A1 (en) Fabrication of gate-all-around integrated circuit structures having additive metal gates
US20230187356A1 (en) Jumper gate for advanced integrated circuit structures
KR20230043688A (ko) 금속 함유 소스 또는 드레인 구조를 갖는 집적 회로 구조
KR20230096846A (ko) 기판 연결 부분을 갖는 소스 또는 드레인 구조를 구비한 게이트-올-어라운드 집적 회로 구조
KR20230094963A (ko) 중앙 부분이 재성장된 소스 또는 드레인 구조를 갖는 게이트 올 어라운드 집적 회로 구조
CN117790503A (zh) 具有由栅极切口限定的鳍状物隔离区域的集成电路结构
CN115440701A (zh) 用于栅极触点或沟槽触点的导电过孔结构
CN117581367A (zh) 具有着落在电介质虚设鳍状物上的金属栅极插塞的集成电路结构

Legal Events

Date Code Title Description
A201 Request for examination