TWI553773B - 用於極度邊緣可調性的延伸和獨立之射頻驅動陰極基材 - Google Patents

用於極度邊緣可調性的延伸和獨立之射頻驅動陰極基材 Download PDF

Info

Publication number
TWI553773B
TWI553773B TW101138455A TW101138455A TWI553773B TW I553773 B TWI553773 B TW I553773B TW 101138455 A TW101138455 A TW 101138455A TW 101138455 A TW101138455 A TW 101138455A TW I553773 B TWI553773 B TW I553773B
Authority
TW
Taiwan
Prior art keywords
electrode
substrate holder
ring
substrate
dielectric layer
Prior art date
Application number
TW101138455A
Other languages
English (en)
Other versions
TW201324674A (zh
Inventor
特多羅法蘭汀
巴那沙莫
尤瑟夫以馬德
汪艾伯特
李瑞蓋瑞
Original Assignee
應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 應用材料股份有限公司 filed Critical 應用材料股份有限公司
Publication of TW201324674A publication Critical patent/TW201324674A/zh
Application granted granted Critical
Publication of TWI553773B publication Critical patent/TWI553773B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • H01L21/6833Details of electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32642Focus rings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H02GENERATION; CONVERSION OR DISTRIBUTION OF ELECTRIC POWER
    • H02NELECTRIC MACHINES NOT OTHERWISE PROVIDED FOR
    • H02N13/00Clutches or holding devices using electrostatic attraction, e.g. using Johnson-Rahbek effect
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T279/00Chucks or sockets
    • Y10T279/23Chucks or sockets with magnetic or electrostatic means

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electromagnetism (AREA)
  • Plasma Technology (AREA)

Description

用於極度邊緣可調性的延伸和獨立之射頻驅動陰極基材
本發明之實施例係一般性關於基板處理設備。
基板處理系統(如電漿反應器)可用於在基板上沉積、蝕刻或形成層,或是以其他方式處理基板的表面。一個可用於控制這樣的基板處理之各態樣的技術是使用射頻(RF)能量來控制基板附近的電漿,例如藉由將RF能量耦合到基板下方的電極,該基板係位於基板支座上。
發明人在本文中提供了基板處理系統的實施例,該等基板處理系統可提供改良的基板處理系統之RF能量控制以及在晶圓邊緣附近彈性的電漿鞘層控制。
本文中提供處理基板的方法及裝置。在一些實施例中,一種處理基板的裝置可以包括:基板支座,該基板支座包含位於該基板支座內並具有周緣及第一表面的第一電極;位於該第一電極之該第一表面上方的基板支座表面;以及第二電極,該第二電極位於該基板支座內並徑向延伸越過該第一電極之該周緣,其中該第二電極具有第二表面,該第二表面位於該第一電極之該第一表面周圍與上方。
在一些實施例中,一種基板支座包括:具有周緣之第一電極;位於該第一電極上方之基板支座表面;位於該第一電極周圍並徑向延伸越過該第一電極之該周緣的第二電極;位於該第一電極之該周緣的周圍之第一介電層;以及RF接地層,該RF接地層位於該第一介電層周圍,其中該第二電極至少部分位於該第一介電層上方。
在一些實施例中,一種基板支座可包括:支撐表面;第一電極,該第一電極位於該基板支座內並具有周緣,該第一電極之該周緣延伸越過該支撐表面之周緣;第二電極,該第二電極位於該基板支座內並具有周緣,該第二電極之該周緣延伸越過該第一電極之該周緣;位於該第一電極之該周緣的周圍之介電層;以及位於該介電層周圍的RF接地層。
以下描述本發明之其他與進一步的實施例。
本文中揭示處理基板的方法及裝置。本發明的方法及裝置與現有的電漿處理裝置相比可以有利地便於更均勻地電漿處理基板。例如,本發明的實施例可以減少在基板邊緣的邊緣捲離或邊緣捲起,從而提供更均勻的基板。本案發明人已經觀察到邊緣捲離或邊緣捲起的原因除了其他的因素之外可能是由於基板邊緣附近的RF功率耦合中有不連續。本發明的方法及裝置針對在基板邊 緣的不連續,藉由提供電極或提供一或多個另外的電極來改善基板邊緣附近的RF功率耦合。
第1圖繪示依據本發明之一些實施例的感應耦合電漿反應器(反應器100)之示意側視圖。可以單獨使用反應器100,或反應器100可作為整合的半導體基板處理系統或群集工具之處理模組,該群集工具如CENTURA®整合式半導體晶圓處理系統,可購自美國加州聖大克勞拉市的應用材料公司(Applied Materials,Inc.of Santa Clara,California)。可以有利地受益於依據本發明的實施例之合適修改的電漿反應器之實例包括感應耦合電漿蝕刻反應器,如DPS®半導體設備線,或其他感應耦合電漿反應器,如MESATM或類似者,也可向應用材料公司取得。上述所列的半導體設備只是說明性的,而且其他的蝕刻反應器以及非蝕刻設備(如CVD反應器或其他半導體處理設備)也可以依據本教示而被適當地修改。例如,可用於本文中揭示的方法之合適的例示性電漿反應器可在V.Todorow等人於2010年6月23日提出申請的美國專利申請案序號第12/821,609號、且標題為「感應耦合的電漿裝置(INDUCTIVELY COUPLED PLASMA APPARATUS)」或是S.Banna等人於2010年6月23日提出申請的美國專利申請案序號第12/821,636號、且標題為「具可調相位線圈組配件的雙模式感應耦合電漿反應器(DUAL MODE INDUCTIVELY COUPLED PLASMA REACTOR WITH ADJUSTABLE PHASE COIL ASSEMBLY.)」中找到。
反應器100通常包括處理腔室104、基板支座116、感應耦合電漿裝置102以及控制器140。處理腔室104具有導電主體(壁)130與蓋120(例如頂壁),導電主體(壁)130與蓋120一起界定了內部容積,基板支座116係位於該內部容積內(圖示為支撐基板115)。壁130通常耦接到電性接地134。在架設反應器100作為感應耦合電漿反應器的實施例中,蓋120可以包含面向反應器100的內部容積之介電材料。
基板支座116通常包括用於支撐基板115的支撐表面。支撐表面可以由介電材料形成。在一些實施例中,基板支座116可包括通過匹配網路124耦接到偏壓電源122的陰極。說明性的偏壓電源122可以是至多約1000W(但不限於約1000W)的RF能量且頻率為例如約13.56MHz的來源,雖然視特定應用所需也可以提供其他的頻率與功率。偏壓電源122可為能夠產生連續或脈衝功率中之任一者或兩者。在一些實施例中,偏壓電源122可以是DC或脈衝DC源。在一些實施例中,偏壓電源122可為能夠提供多個頻率,或者可將一或多個第二偏壓源(如第2圖中所圖示)經由相同的匹配網路124或經由一或多個另外的匹配網路(如第2圖中所圖示)耦接到基板支座116,以提供多個頻率。
第2圖繪示依據本發明之一些實施例的基板支座116之進一步細節。如第2圖中所圖示,基板支座116可包 括位於基板支座116內的第一電極200。在一些實施例中,可以將第一電極200置中配置在基板支座116之支撐表面216下方。第一電極200可以由導電材料形成,該導電材料如鋁(Al)、摻雜的碳化矽(SiC)或其他與製程環境相容的適合導電材料中之一或多者。在一些實施例中,第一電極200可以位於主體205中或者可以是主體205,主體205支撐基板支座116之介電質支撐表面。主體205可以具有周緣202與第一表面204。在一些實施例中,主體205可以包括複數個通道207,通道207位於穿過主體205,以使熱傳介質流經通道207。熱傳介質源209可與該複數個通道207耦接,以提供熱傳介質至該複數個通道207。例如,可以使用通過該複數個通道207之熱傳介質流動來調節位於基板支座116上的基板之溫度。
第二電極206可以位於基板支座116內。第二電極206可以具有第二表面208,第二表面208位於第一電極200之第一表面204周圍與上方。第二電極206可以從第一電極204徑向延伸,例如越過如下面所討論的第一電極200之周緣202。第二電極206可以由任何適合的導電材料形成,如Al、摻雜的SiC、摻雜的鑽石或其他與製程環境相容的適合導電材料中之一或多者。在一些實施例中,第二電極206可被電耦接到第一電極200,使得第一與第二電極200、206可被耦接到共用的RF電源(例如偏壓電源122)。在一些實施例中,第一與第二電極 200、206可以是單一整合電極,該整合電極被形成為適合提供本文中所教示的功能之形狀。或者,在一些實施例中,第二電極206可以與第一電極200電隔離,使得該第一與第二電極200、206可以被相同的或分開的RF電源個別控制。
例如,在一些實施例中,偏壓電源122(例如第一RF電源)可被耦接到每個第一與第二電極200、206,以提供射頻(RF)能量至第一與第二電極200、206。在這樣的實施例中,該第一與第二電極200、206可被電耦接(無論是作為單一整合電極或作為分開的電極)或者可以被電隔離。或者,偏壓電源122可被耦接到第一電極200,以提供RF能量到第一電極200,而且第二電源210(以虛線圖示)可以經由匹配網路211(以虛線圖示)被耦接到第二電極206,以提供RF能量到第二電極206。例如,為了電隔離第一與第二電極200、206,可以將介電層213(以虛線圖示)配置於第一與第二電極200、206之間,如第2圖中所圖示。或者,可以使用基部212(下面討論)的一些實施例來電隔離第一與第二電極200、206。
在一些實施例中,基部212可以位於第一電極200上。在第一與第二電極200、206被電耦接的實施例中,基部212可以是位於至少一部分的第一電極200周圍之導電環或類似者,如第2圖中所圖示。或者,基部212可以具有位於第一電極200周圍的導電通路。
基部212的全部或一部分可由介電材料製造,該介電材料適於防止第一與第二電極200、206之間起弧。第二電極206包括徑向延伸部分214,徑向延伸部分214位於基部212的頂部且延伸越過第一電極200的周緣202。基部212與徑向延伸部分214可以是單一的整合組件或分開的組件,該等分開的組件可以被組裝在一起形成第二電極206。可定位第二電極206的第二表面208之位置,以在處理過程中控制位於基板支座116上的基板周圍附近的RF能量耦合。此外,可以調整徑向延伸部分214延伸越過第一電極200之周緣202的長度,以實現在位於基板支座116上的基板周圍附近所需的RF能量耦合。在一些實施例中,基部212的高度及/或徑向延伸部分214的厚度可以一起界定第二表面208相對於該第一表面204的位置。
基板支座可以包括位於第一電極200之第一表面204上方的基板支撐表面216。例如,基板支撐表面216可以是靜電吸盤218的一部分。靜電吸盤218可位於第一電極200上方,而且基板支撐表面216可以是靜電吸盤218的上表面。靜電吸盤218可以包括介電質板材,如陶瓷圓盤220,如第2圖中所圖示。陶瓷圓盤220可包括電極222,電極222位於陶瓷圓盤220內,以提供將基板115吸到靜電吸盤218的直流能量。電極222可以被耦接到直流電源226。
邊緣環228可以位於靜電吸盤218的周圍。例如,邊 緣環228可以是處理套組或類似者,邊緣環228設以改善基板115周緣附近的處理及/或在處理過程中保護基板支座免於不需要的電漿曝露。邊緣環228可以是介電質或可具有外部介電層,該外部介電層例如包含石英、氧化釔(Y2O3)、氮化鋁(AlN)、塗覆鑽石的碳化矽(SiC)或類似者中之一或多者。在一些實施例中,如第2圖中所圖示,當基板115位在靜電吸盤218上之時,邊緣環228的高度可與基板115的處理表面大約相同。或者,邊緣環相對於靜電吸盤218上的基板之處理表面的高度可以有所不同。例如,在一些實施例中,如第3圖中所圖示,邊緣環300可以具有比基板115的處理表面更高的高度。邊緣環可以是由一種材料構成的單一片體,如邊緣環300。或者,可以使用另外的環來將邊緣環的高度延伸到基板115的處理表面上方,如可能置於及/或嵌合/堆疊於邊緣環300上的環302。例如,邊緣環300與環302可以包含相同的材料。或者,邊緣環300與環302可以包含不同的材料,例如邊緣環300可包含石英,而環302可以包含SiC。可以最佳化基板115的處理表面上方之邊緣環高度(例如邊緣環300或邊緣環300與環302的組合),以改善基板115之周緣附近的電漿均勻度。
回到第2圖,邊緣環228可以位於第二電極206的徑向延伸部分214上方與鄰近處,使得邊緣環228可位於延伸部分214與接地層230(例如RF接地層)之間。例如,邊緣環228可以由單一片體形成,使得邊緣環228 將延伸部分214與接地層230分離。或者,如第2圖中所圖示,可將環232(如介電質間隔物或類似者)配置於邊緣環228下方且在第二電極206的延伸部分214與接地層230之間。在任一實施例中,即有或無環232的實施例中,可能需要使延伸部分214與接地層230充分隔離,以限制及/或防止在延伸部分214與接地層230之間起弧。
環232可以是單一片體或包含堆疊或相互連接在一起的多個片體,如第2圖中的虛線所圖示。在使用多個堆疊片體的實施例中,該片體可以包含相同的或不同的材料。在一些實施例中,可以使用其他的環或可以移除一或多個片體,以容納第二電極206之更大的延伸部分。如第4圖中所圖示,可以使用環400來容納較大的延伸部分402(例如比延伸部分214更大)。如圖所示,延伸部分402可以延伸越過第一介電層234(以下討論)。與環232類似,可以使用環400來充分地將延伸部分402與接地層230隔離。延伸部分(例如214或402)的長度可以在範圍內變化,以最佳化基板115之周緣附近的電漿均勻度。在一些實施例中,延伸部分的長度與邊緣環的高度可以皆被最佳化,以在基板115之周緣附近的電漿中實現所需的均勻度。
第5A與5B圖分別繪示依據本發明之一些實施例可以用於作為環232或環400的環502之俯視圖與側剖視圖。以下描述的環502之尺寸可有利地允許環502適用 於上述的基板支座116。在一些實施例中,環502是由碳化矽(SiC)所製成。藉由使用碳化矽來製造環502,當曝露於處理腔室內的處理環境時,環502可以有利地耐降解。
在一些實施例中,環502通常可以包含具有外緣511、內緣513、頂表面515以及底表面517的環形主體504。在一些實施例中,主體504可以包含複數個從頂表面515向上延伸的凸部506(圖示三個凸部506)。
在一些實施例中,外緣511的直徑可以為約12.473吋至約12.479吋。在一些實施例中,內緣513的直徑可以為約11.726吋至約11.728吋。在一些實施例中,環502的內緣513包含平坦部509,平坦部509靠近該複數個凸部506中之一者。平坦部509與一部分的基板支座接合,以當安裝環502於基板支座上時方便環502之適當定向。在一些實施例中,從平坦部509到環502的中心510的距離512可以為約5.826吋至約5.831吋。在一些實施例中,平坦部509可具有約1.310吋至約1.320吋的長度508。
當存在時,該複數個凸部506(圖示三個凸部506)將基板支座的組件(例如上述基板支座116的邊緣環228)支撐於環502的頂部,並提供基板支座與環502之間的間隙。在其中存在三個凸部506的實施例中,可以將凸部506對稱地配置於主體504上。例如,可以約120度的角度519將三個凸部506中的每一者在主體504上相 互分開。此外,可以將每個凸部506配置在主體504上,使得凸部506之外緣527與主體504之中心510之間的距離525為約6.995吋至約6.105吋。在一些實施例中,從凸部506之內緣529到主體504之中心510的距離523為約5.937吋至約5.947吋。
參照第5B圖,在一些實施例中,主體504可以具有約0.116吋至約0.118吋的高度H1。凸部506可以從主體504的表面515延伸約0.049吋至約0.059吋的高度H2。在一些實施例中,凸部506可以具有傾斜面531,傾斜面531與垂直於主體504的表面515之軸線533成約9度至約11度的角度。
第6A-C圖分別繪示處理套組環602之俯視圖、側剖視圖以及細部側剖視圖,處理套組環602可用來作為邊緣環228或邊緣環300,邊緣環228或邊緣環300係用於依據本發明之一些實施例的電漿反應器中。以下描述的處理套組環602之尺寸可有利地允許處理套組環602適合使用於上述的基板支座116。在一些實施例中,處理套組環602是由石英(SiO2)所製造。藉由以石英製造處理套組環602,當曝露於處理腔室內的處理環境時,處理套組環602可以有利的為介電質並且耐降解的。
處理套組環602通常包含環形主體601,環形主體601具有外緣615、內緣616、頂表面604以及底部613。可在外緣615與內緣616之間形成第一步階607及第二步階608。
在一些實施例中,外緣615的直徑可為約15.115吋至約15.125吋。在一些實施例中,內緣616的直徑可為約11.752吋至約11.757吋。在一些實施例中,主體601的內緣616包含平坦部617,平坦部617設以與一部分的基板支座接合,以當安裝處理套組環602於基板支座上時方便處理套組環602之適當定向。在一些實施例中,平坦部617與處理套組環602之中心軸之間的距離605可為約5.825吋至約5.830吋。
參照第6B圖,當基板位於處理套組環602上進行處理時,第一步階607在基板周圍的上方與附近提供開放區域634。開放區域634可允許處理及/或可減少從基板傳到處理套組環602的熱量。在一些實施例中,第一步階607可以具有約12.077吋至約12.087吋的外徑614並延伸到第二步階608的外徑612。在一些實施例中,從第一步階607的表面609到處理套組環602的頂表面604之過渡611可具有約99度至約101度的角度629,如第6C圖中所圖示。再回到參照第6B圖,在這樣的實施例中,頂表面604的內徑610可為約12.132吋至約12.142吋。
當基板位於處理套組環602上進行處理時,第二步階608提供用於支撐基板之表面。第二步階608可以具有約11.884吋至約11.889吋的外徑612並延伸至處理套組環602的內緣616。
在一些實施例中,處理套組環602可以包含環632, 環632從處理套組環602的底部613在處理套組環602的外緣630周圍向下延伸。環632允許處理套組環602穩固地放置於基板支座頂部並且使基板支座的其他組件適配於處理套組環602下方(例如上述的環502)。在一些實施例中,環632可具有約14.905吋至約14.915吋的內徑633。參照第6C圖,在一些實施例中,處理套組環602的總厚度620可為約0.510吋至約0.520吋。
第7A-E圖分別繪示用於依據本發明的一些實施例之電漿反應器中的處理套組環之俯視圖、側剖視圖、細部側剖視圖、細部俯視圖以及頂部細部之側剖視圖。以下描述的處理套組環702之尺寸可有利地允許處理套組環702適用於上述的基板支座116。在一些實施例中,處理套組環702是由石英(SiO2)所製造。藉由以石英製造處理套組環702,當曝露於處理腔室內的處理環境時,處理套組環702可以有利的為介電質並且耐降解的。
處理套組環702通常包含環形主體704以及複數個凸部(圖示為三個凸部716),環形主體704具有外緣705、內緣706、頂表面707以及底部709,該等凸部從內緣706朝向處理套組環702的中心711向內延伸。
在一些實施例中,外緣705的直徑708可為約15.115吋至約15.125吋。在一些實施例中,內緣706的直徑可為約12.245吋至約12.250吋。
當基板位於處理套組環702上進行處理時,該複數個凸部716提供用於支撐基板的表面。在一些實施例中, 可以將該複數個凸部716對稱地配置於處理套組環702的內緣706上,例如配置為彼此相距約120度。在一些實施例中,該複數個凸部716中的每一者朝向處理套組環702的中心711延伸,使得從中心711到該複數個凸部716中的每一者之末端719的距離710可為約5.937吋至約5.947吋。
參照第7D圖,在一些實施例中,該複數個凸部716中的每一者可以具有約0.205吋至約0.216吋的寬度731。在一些實施例中,該複數個凸部716中的每一者可以包含圓形末端741。
參照第7E圖,在一些實施例中,該複數個凸部716中的每一者之基板支撐表面737可位於處理套組環702的頂表面707下方。在一些實施例中,基板支撐表面737與處理套組環702之頂表面707之間的過渡735可以是彎曲的。
參照第7B圖,在一些實施例中,可以在內緣706的下方形成倒角726。當倒角726存在時,倒角726可以與基板支座的另一個組件接合,以便於在該組件上將處理套組環702置中。在一些實施例中,可以將倒角726形成於處理套組環702中具有約12.405吋至約12.505吋的直徑720。在一些實施例中,處理套組環702可以包含從處理套組環702的底部709在處理套組環702的外緣705周圍向下延伸的環724。環724允許處理套組環702穩固地放置於基板支座頂部並且使基板支座的其他組件 適配於處理套組環702下方(例如上述的環502)。在一些實施例中,環724的內徑722可為約14.905吋至約14.915吋。在一些實施例中,內緣706可以包含錐形部739,錐形部739從內緣706延伸到頂表面707,從而在處理套組環702的頂表面707附近提供約12.295吋至約12.305吋的內徑718。參照第7C圖,在這樣的實施例中,錐形部735與該表面之間的角度743可為約99度至約101度。在一些實施例中,處理套組環702的總厚度729可為約0.520吋至約0.530吋。
回到第2圖,環232(或環400)可置於第一介電層234上。第一介電層234可以位於第一電極200的周緣202周圍。例如,第一介電層234可將第一電極200及/或至少一部分的第二電極206與接地層230電隔離。如圖示,接地層230可以位於第一介電層234周圍。在一些實施例中,第二電極206的徑向延伸部分214可以至少部分地位於第一介電層234上方,如第2圖中所圖示。第一介電層234可包含任何適當的介電材料,諸如石英、氧化釔(Y2O3)、碳化矽(SiC)、塗覆鑽石的石英或類似者中之一或多者。接地層230可以包含任何適當的導電材料,如鋁、摻雜的SiC、摻雜的鑽石或其他與製程環境相容的適當導電材料中之一或多者。如第1圖與第2圖中所圖示,接地層230可耦接至電漿屏蔽236,電漿屏蔽236可以位於基板支座116周圍,例如在第一介電層234周圍。
回到第1圖,在一些實施例中,蓋120可以大體上為平的。腔室104的其他修改可以具有其他類型的蓋,例如圓頂形的蓋或其他的形狀。感應耦合電漿裝置102通常位於蓋120的上方,並且設以感應地耦合RF功率進入處理腔室104。感應耦合電漿裝置102包括位於蓋120上方的第一與第二線圈110、112。可以視需要調整每個線圈的相對位置、直徑的比率及/或每個線圈的圈數,以控制例如經由控制每個線圈上的電感所形成的電漿之分佈或密度。第一與第二線圈110、112中的每一者係經由RF饋電結構106通過匹配網路114被耦合到RF電源108。說明性地,RF電源108在50 kHz至13.56 MHz範圍中的可調頻率下能夠產生高達約4000 W(但不限於約4000 W),雖然對於特定的應用可以視需要提供其他的頻率與功率。
在一些實施例中,可在RF饋電結構106與RF電源108之間提供功率分配器105,如分壓電容器,以控制提供給個別的第一與第二線圈的RF功率之相對數量。例如,如第1圖中所圖示,功率分配器105可以位於耦接RF饋電結構106與RF電源108的線中,用於控制提供給每個線圈的RF功率量(從而便於控制與第一及第二線圈對應的區域中之電漿特性)。在一些實施例中,可將功率分配器105併入匹配網路114。在一些實施例中,在功率分配器105之後,RF電流流至RF饋電結構106,在RF饋電結構106RF電流被分配到第一與第二RF線圈110、 112。或者,可以將分流的RF電流直接饋送到每個個別的第一與第二RF線圈。
加熱器元件121可以位於蓋120的頂部上,以便利加熱處理腔室104的內部體積。加熱器元件121可以位於蓋120及第一與第二線圈110、112之間。在一些實施例中,加熱器元件121可包括電阻加熱元件,並且可以耦接到電源123,如交流電源,交流電源設以提供足夠的能量來控制加熱器元件121的溫度為介於約50攝氏度至約100攝氏度之間。在一些實施例中,加熱器元件121可以是開放的分斷加熱器。在一些實施例中,加熱器元件121可以包含非分斷加熱器,如環形元件,從而便於在處理腔室104內形成均勻的電漿。
在操作過程中,可將基板115(如適用於電漿處理的半導體晶圓或其他基板)放置在基板支座116上,並且可以從氣體控制板138通過進入埠126供應製程氣體,以在處理腔室104內形成氣態混合物150。例如,在引入製程氣體之前,可以控制腔室內的表面溫度,例如,藉由上面討論的加熱器121,而使內部容積面對的表面處於約100攝氏度至200攝氏度之間或約150攝氏度的溫度。藉由從電漿源108施加功率至第一與第二線圈110、112,氣態混合物150可以被點燃進入處理腔室104中的電漿155。在一些實施例中,也可以將來自偏壓源122的功率提供給基板支座116。可以使用節流閥127與真空幫浦136控制腔室104的內部體積內之壓力。可以 使用通過壁130的含液體導管(未圖示)來控制腔室壁130的溫度。
控制器140包含中央處理單元(CPU)144、記憶體142以及用於CPU 144的支援電路146,而且控制器140便於控制反應器100的組件以及因而便於控制如本文中所討論的形成電漿之方法。控制器140可以是任何形式的通用電腦處理器中之一者,該等通用電腦處理器可以用於工業設定中,用於控制各種腔室與子處理器。CPU 144的記憶體142或電腦可讀媒體可以是一或多個容易買到的記憶體,例如隨機存取記憶體(RAM)、唯讀記憶體(ROM)、軟碟、硬碟或任何其他形式的、位於本端或遠端的數位儲存器。支援電路146被耦合到CPU 144,用於以現有的方式支援處理器。該等電路包括緩存、電源、時鐘電路、輸入/輸出電路及子系統以及類似者。記憶體142儲存軟體(源或目標編碼),可以執行或調用該等軟體,而以本文中描述的方式控制反應器100的操作。也可以第二CPU(未圖示)儲存及/或執行軟體常式,該第二CPU係位於由CPU 144控制的硬體之遠端。
雖然前述係針對本發明的實施例,但在不偏離本發明的基本範圍下仍可以設計本發明的其他與進一步的實施例。
100‧‧‧反應器
102‧‧‧感應耦合電漿裝置
104‧‧‧處理腔室
105‧‧‧功率分配器
106‧‧‧RF饋電結構
108‧‧‧RF電源
110‧‧‧第一線圈
112‧‧‧第二線圈
114‧‧‧匹配網路
115‧‧‧基板
116‧‧‧基板支座
120‧‧‧蓋
121‧‧‧加熱器元件
122‧‧‧偏壓電源
123‧‧‧電源
124‧‧‧匹配網路
126‧‧‧進入埠
127‧‧‧節流閥
130‧‧‧導電主體壁
134‧‧‧電性接地
136‧‧‧真空幫浦
138‧‧‧氣體控制板
140‧‧‧控制器
142‧‧‧記憶體
144‧‧‧CPU
146‧‧‧支援電路
150‧‧‧氣態混合物
155‧‧‧電漿
200‧‧‧第一電極
202‧‧‧周緣
204‧‧‧第一表面
205‧‧‧主體
206‧‧‧第二電極
207‧‧‧通道
208‧‧‧第二表面
209‧‧‧熱傳介質源
210‧‧‧第二電源
211‧‧‧匹配網路
212‧‧‧基部
213‧‧‧介電層
214‧‧‧徑向延伸部分
216‧‧‧支撐表面
218‧‧‧靜電吸盤
220‧‧‧陶瓷圓盤
222‧‧‧電極
226‧‧‧直流電源
228‧‧‧邊緣環
230‧‧‧接地層
232‧‧‧環
234‧‧‧第一介電層
236‧‧‧電漿屏蔽
300‧‧‧邊緣環
302‧‧‧環
400‧‧‧環
402‧‧‧延伸部分
502‧‧‧環
504‧‧‧主體
506‧‧‧凸部
508‧‧‧長度
509‧‧‧平坦部
510‧‧‧中心
511‧‧‧外緣
512‧‧‧距離
513‧‧‧內緣
515‧‧‧頂表面
517‧‧‧底表面
519‧‧‧角度
523‧‧‧距離
525‧‧‧距離
527‧‧‧外緣
529‧‧‧內緣
531‧‧‧傾斜面
533‧‧‧軸線
601‧‧‧環形主體
602‧‧‧處理套組環
604‧‧‧頂表面
605‧‧‧距離
607‧‧‧第一步階
608‧‧‧第二步階
609‧‧‧表面
610‧‧‧內徑
611‧‧‧過渡
612‧‧‧外徑
613‧‧‧底部
614‧‧‧外徑
615‧‧‧外緣
616‧‧‧內緣
617‧‧‧平坦部
620‧‧‧總厚度
629‧‧‧角度
630‧‧‧外緣
632‧‧‧環
633‧‧‧內徑
634‧‧‧開放區域
702‧‧‧處理套組環
704‧‧‧環形主體
705‧‧‧外緣
706‧‧‧內緣
707‧‧‧頂表面
708‧‧‧直徑
709‧‧‧底部
710‧‧‧距離
711‧‧‧中心
716‧‧‧凸部
718‧‧‧內徑
719‧‧‧末端
720‧‧‧直徑
722‧‧‧內徑
724‧‧‧環
726‧‧‧倒角
729‧‧‧總厚度
731‧‧‧寬度
735‧‧‧過渡
737‧‧‧基板支撐表面
739‧‧‧錐形部
741‧‧‧圓形末端
743‧‧‧角度
H1‧‧‧高度
H2‧‧‧高度
藉由參照本發明繪示於隨附圖示中的說明性實施例,可以瞭解本發明於以上概述並於以下更加詳細討論的實施例。然而,應注意的是,附圖僅說明本發明之典型實施例,因此不應將該等附圖視為限制本發明之範圍,因本發明可認可其他等同有效的實施例。
第1圖繪示依據本發明之一些實施例的電漿反應器之示意圖。
第2圖繪示依據本發明之一些實施例的基板支座之示意圖。
第3圖繪示依據本發明之一些實施例的基板支座之部分示意圖。
第4圖繪示依據本發明之一些實施例的基板支座之部分示意圖。
第5A與5B圖分別繪示依據本發明之一些實施例用於電漿反應器的處理套組環之俯視圖與側剖視圖。
第6A至6C圖分別繪示依據本發明之一些實施例用於電漿反應器的處理套組環之俯視圖、側剖視圖以及細部側剖視圖。
第7A至7E圖分別繪示依據本發明之一些實施例用於電漿反應器的處理套組環之俯視圖、側剖視圖、細部側剖視圖、細部俯視圖以及頂部細部之側剖視圖。
為了便於理解,已在可能處使用相同的元件符號來指稱對於圖式為相同的元件。這些圖式不按比例繪製,並且為了清楚起見可以被簡化。亦考量到可以將一個實施 例的元件與特徵有益地整合於其他實施例中而無需進一步詳述。
115‧‧‧基板
116‧‧‧基板支座
122‧‧‧偏壓電源
124‧‧‧匹配網路
200‧‧‧第一電極
202‧‧‧周緣
204‧‧‧第一表面
205‧‧‧主體
206‧‧‧第二電極
207‧‧‧通道
208‧‧‧第二表面
209‧‧‧熱傳介質源
210‧‧‧第二電源
211‧‧‧匹配網路
212‧‧‧基部
213‧‧‧介電層
214‧‧‧徑向延伸部分
216‧‧‧支撐表面
218‧‧‧靜電吸盤
220‧‧‧陶瓷圓盤
222‧‧‧電極
226‧‧‧直流電源
228‧‧‧邊緣環
230‧‧‧接地層
232‧‧‧環
234‧‧‧第一介電層
236‧‧‧電漿屏蔽

Claims (19)

  1. 一種基板支座,包含:一第一電極,該第一電極位於該基板支座內並具有一周緣及一第一表面;一基板支座表面,該基板支座表面位於該第一電極之該第一表面上方;以及一第二電極,該第二電極位於該基板支座內並徑向延伸越過該第一電極之該周緣,其中該第二電極具有一第二表面,該第二表面位於該第一電極之該第一表面周圍與上方,其中該第二電極進一步包含:一基部,位於該第一電極上;以及一徑向延伸部分,該徑向延伸部分位於該基部頂部並延伸越過該第一電極之該周緣。
  2. 如請求項1所述之基板支座,其中該第一與第二電極為一單一整合電極。
  3. 如請求項1所述之基板支座,該基板支座進一步包含:一介電層,該介電層位於該第一電極與該第二電極之間。
  4. 如請求項1所述之基板支座,該基板支座進一步包含: 一第一電源,該第一電源與該第一及第二電極耦接,以提供RF能量至該第一與第二電極;或一第一電源以及一第二電源,該第一電源與該第一電極耦接,以提供RF能量至該第一電極,該第二電源與該第二電極耦接,以提供RF能量至該第二電極。
  5. 如請求項1至4任一項所述之基板支座,該基板支座進一步包含:一靜電吸盤,該靜電吸盤位於該第一電極上方,其中該靜電吸盤之一上表面包含該基板支座表面。
  6. 如請求項1所述之基板支座,其中該基部與該徑向延伸部分為一單一整合電極。
  7. 如請求項1所述之基板支座,該基板支座進一步包含:一第一介電層,該第一介電層位於該第一電極之該周緣的周圍;以及一RF接地層,該RF接地層位於該第一介電層周圍,其中該第二電極之該徑向延伸部分至少部分位於該第一介電層上方。
  8. 如請求項7所述之基板支座,該基板支座進一步包含: 一邊緣環,該邊緣環位於該第二電極之該徑向延伸部分上方及鄰近處,使得該邊緣環位於該第二電極之該徑向延伸部分與該接地層之間。
  9. 如請求項1至4任一項所述之基板支座,其中該第一電極進一步包含:一主體,該主體由一導電材料形成並具有複數個通道,該複數個通道穿過該主體。
  10. 如請求項9所述之基板支座,該基板支座進一步包含:一熱傳介質源,該熱傳介質源與該複數個通道耦接,以提供一熱傳介質至該複數個通道。
  11. 一種基板支座,包含:一第一電極,具有一周緣;一基板支座表面,位於該第一電極上方;一第二電極,該第二電極位於該第一電極周圍並徑向延伸越過該第一電極之該周緣;一第一介電層,該第一介電層位於該第一電極之該周緣的周圍;以及一RF接地層,該RF接地層位於該第一介電層周圍,其中該第二電極至少部分位於該第一介電層上方。
  12. 如請求項11所述之基板支座,其中該第一與第二電極為一單一整合電極。
  13. 如請求項11所述之基板支座,該基板支座進一步包含:一介電層,該介電層位於該第一電極與該第二電極之間。
  14. 如請求項11所述之基板支座,該基板支座進一步包含以下之一者:一第一電源,該第一電源與該第一及第二電極耦接,以提供RF能量至該第一及第二電極;或一第一電源以及一第二電源,該第一電源與該第一電極耦接,以提供RF能量至該第一電極,該第二電源與該第二電極耦接,以提供RF能量至該第二電極。
  15. 如請求項11至14任一項所述之基板支座,該基板支座進一步包含:一邊緣環,該邊緣環位於該第二電極上方及鄰近處,使得該邊緣環位於該第二電極與該RF接地層之間。
  16. 如請求項11至14任一項所述之基板支座,該基板支座進一步包含:一靜電吸盤,該靜電吸盤位於該第一電極上方,其 中該靜電吸盤之一上表面包含該基板支座表面。
  17. 一種基板支座,包含:一支撐表面;一第一電極,該第一電極位於該基板支座內並具有一周緣,該第一電極之該周緣延伸越過該支撐表面之一周緣;一第二電極,該第二電極位於該基板支座內並具有一周緣,該第二電極之該周緣延伸越過該第一電極之該周緣;一介電層,該介電層位於該第一電極之該周緣的周圍;以及一RF接地層,該RF接地層位於該介電層周圍。
  18. 如請求項17所述之基板支座,該基板支座進一步包含:一靜電吸盤,該靜電吸盤位於該第一電極上方,其中該靜電吸盤之一上表面包含該基板支座表面。
  19. 如請求項17或18任一項所述之基板支座,該基板支座進一步包含:一邊緣環,該邊緣環位於該第二電極上方及鄰近處,使得該邊緣環位於該第二電極與該RF接地層之間。
TW101138455A 2011-12-15 2012-10-18 用於極度邊緣可調性的延伸和獨立之射頻驅動陰極基材 TWI553773B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201161576324P 2011-12-15 2011-12-15
US201261691077P 2012-08-20 2012-08-20
US13/651,351 US8988848B2 (en) 2011-12-15 2012-10-12 Extended and independent RF powered cathode substrate for extreme edge tunability

Publications (2)

Publication Number Publication Date
TW201324674A TW201324674A (zh) 2013-06-16
TWI553773B true TWI553773B (zh) 2016-10-11

Family

ID=48609328

Family Applications (2)

Application Number Title Priority Date Filing Date
TW101220123U TWM462941U (zh) 2011-12-15 2012-10-18 用於處理腔室之基板支座的處理套組環
TW101138455A TWI553773B (zh) 2011-12-15 2012-10-18 用於極度邊緣可調性的延伸和獨立之射頻驅動陰極基材

Family Applications Before (1)

Application Number Title Priority Date Filing Date
TW101220123U TWM462941U (zh) 2011-12-15 2012-10-18 用於處理腔室之基板支座的處理套組環

Country Status (5)

Country Link
US (3) US8988848B2 (zh)
KR (6) KR200484428Y1 (zh)
CN (2) CN204206596U (zh)
TW (2) TWM462941U (zh)
WO (2) WO2013089910A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI820496B (zh) * 2020-10-28 2023-11-01 大陸商北京北方華創微電子裝備有限公司 靜電卡盤及半導體工藝設備

Families Citing this family (125)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9947559B2 (en) 2011-10-28 2018-04-17 Applied Materials, Inc. Thermal management of edge ring in semiconductor processing
US8988848B2 (en) 2011-12-15 2015-03-24 Applied Materials, Inc. Extended and independent RF powered cathode substrate for extreme edge tunability
US9412579B2 (en) 2012-04-26 2016-08-09 Applied Materials, Inc. Methods and apparatus for controlling substrate uniformity
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9768052B2 (en) * 2013-03-14 2017-09-19 Applied Materials, Inc. Minimal contact edge ring for rapid thermal processing
JP6853038B2 (ja) * 2013-06-26 2021-03-31 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Icpプラズマ処理チャンバ内における高収率・基板最端部欠陥低減のための単一リング設計
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9873180B2 (en) 2014-10-17 2018-01-23 Applied Materials, Inc. CMP pad construction with composite material properties using additive manufacturing processes
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9776361B2 (en) 2014-10-17 2017-10-03 Applied Materials, Inc. Polishing articles and integrated system and methods for manufacturing chemical mechanical polishing articles
US11745302B2 (en) 2014-10-17 2023-09-05 Applied Materials, Inc. Methods and precursor formulations for forming advanced polishing pads by use of an additive manufacturing process
KR102295988B1 (ko) 2014-10-17 2021-09-01 어플라이드 머티어리얼스, 인코포레이티드 애디티브 제조 프로세스들을 이용한 복합 재료 특성들을 갖는 cmp 패드 구성
US10875153B2 (en) 2014-10-17 2020-12-29 Applied Materials, Inc. Advanced polishing pad materials and formulations
US11637002B2 (en) * 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US10658222B2 (en) 2015-01-16 2020-05-19 Lam Research Corporation Moveable edge coupling ring for edge process control during semiconductor wafer processing
KR101910727B1 (ko) * 2015-01-20 2018-10-22 엔지케이 인슐레이터 엘티디 웨이퍼 지지 구조체
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
KR102421346B1 (ko) * 2015-04-20 2022-07-15 삼성전자주식회사 플라즈마 장비
US10017857B2 (en) 2015-05-02 2018-07-10 Applied Materials, Inc. Method and apparatus for controlling plasma near the edge of a substrate
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10593574B2 (en) 2015-11-06 2020-03-17 Applied Materials, Inc. Techniques for combining CMP process tracking data with 3D printed CMP consumables
US10109464B2 (en) 2016-01-11 2018-10-23 Applied Materials, Inc. Minimization of ring erosion during plasma processes
US10391605B2 (en) 2016-01-19 2019-08-27 Applied Materials, Inc. Method and apparatus for forming porous advanced polishing pads using an additive manufacturing process
US10685862B2 (en) * 2016-01-22 2020-06-16 Applied Materials, Inc. Controlling the RF amplitude of an edge ring of a capacitively coupled plasma process device
KR102689380B1 (ko) * 2016-01-26 2024-07-26 어플라이드 머티어리얼스, 인코포레이티드 웨이퍼 에지 링 리프팅 솔루션
CN116110846A (zh) 2016-01-26 2023-05-12 应用材料公司 晶片边缘环升降解决方案
JP7098273B2 (ja) 2016-03-04 2022-07-11 アプライド マテリアルズ インコーポレイテッド ユニバーサルプロセスキット
WO2017195672A1 (ja) * 2016-05-09 2017-11-16 株式会社 アルバック 静電チャック、および、プラズマ処理装置
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10283330B2 (en) * 2016-07-25 2019-05-07 Lam Research Corporation Systems and methods for achieving a pre-determined factor associated with an edge region within a plasma chamber by synchronizing main and edge RF generators
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US9947517B1 (en) 2016-12-16 2018-04-17 Applied Materials, Inc. Adjustable extended electrode for edge uniformity control
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10553404B2 (en) 2017-02-01 2020-02-04 Applied Materials, Inc. Adjustable extended electrode for edge uniformity control
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US11702748B2 (en) * 2017-03-03 2023-07-18 Lam Research Corporation Wafer level uniformity control in remote plasma film deposition
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
WO2018187679A1 (en) 2017-04-07 2018-10-11 Applied Materials, Inc. Plasma density control on substrate edge
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
KR102258054B1 (ko) * 2017-07-24 2021-05-28 램 리써치 코포레이션 이동가능한 에지 링 설계들
US11471999B2 (en) 2017-07-26 2022-10-18 Applied Materials, Inc. Integrated abrasive polishing pads and manufacturing methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US11075105B2 (en) 2017-09-21 2021-07-27 Applied Materials, Inc. In-situ apparatus for semiconductor process module
JP7052735B2 (ja) * 2017-09-29 2022-04-12 住友大阪セメント株式会社 静電チャック装置
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10424487B2 (en) 2017-10-24 2019-09-24 Applied Materials, Inc. Atomic layer etching processes
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11043400B2 (en) 2017-12-21 2021-06-22 Applied Materials, Inc. Movable and removable process kit
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US20220344134A1 (en) * 2018-01-19 2022-10-27 Applied Materials, Inc. Process kit for a substrate support
US11387134B2 (en) * 2018-01-19 2022-07-12 Applied Materials, Inc. Process kit for a substrate support
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
JP7122551B2 (ja) * 2018-03-16 2022-08-22 パナソニックIpマネジメント株式会社 プラズマ処理装置
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10790123B2 (en) 2018-05-28 2020-09-29 Applied Materials, Inc. Process kit with adjustable tuning ring for edge uniformity control
US11935773B2 (en) 2018-06-14 2024-03-19 Applied Materials, Inc. Calibration jig and calibration method
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
WO2020050932A1 (en) 2018-09-04 2020-03-12 Applied Materials, Inc. Formulations for advanced polishing pads
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11289310B2 (en) 2018-11-21 2022-03-29 Applied Materials, Inc. Circuits for edge ring control in shaped DC pulsed plasma process device
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11282729B2 (en) * 2018-12-27 2022-03-22 Areesys Technologies, Inc. Method and apparatus for poling polymer thin films
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US10784089B2 (en) 2019-02-01 2020-09-22 Applied Materials, Inc. Temperature and bias control of edge ring
US11101115B2 (en) 2019-04-19 2021-08-24 Applied Materials, Inc. Ring removal from processing chamber
US12009236B2 (en) 2019-04-22 2024-06-11 Applied Materials, Inc. Sensors and system for in-situ edge ring erosion monitor
JP7333712B2 (ja) * 2019-06-05 2023-08-25 東京エレクトロン株式会社 静電チャック、支持台及びプラズマ処理装置
US11564292B2 (en) * 2019-09-27 2023-01-24 Applied Materials, Inc. Monolithic modular microwave source with integrated temperature control
US11424096B2 (en) * 2019-11-05 2022-08-23 Applied Materials, Inc. Temperature controlled secondary electrode for ion control at substrate edge
JP7361588B2 (ja) * 2019-12-16 2023-10-16 東京エレクトロン株式会社 エッジリング及び基板処理装置
US11492697B2 (en) * 2020-06-22 2022-11-08 Applied Materials, Inc. Apparatus for improved anode-cathode ratio for rf chambers
KR20230089098A (ko) 2021-12-13 2023-06-20 조윤정 드레싱 디스펜서
KR20230121239A (ko) 2022-02-11 2023-08-18 나일수 양면 거치구
WO2024015187A1 (en) * 2022-07-11 2024-01-18 Applied Materials, Inc. Process kit for a substrate support
US20240064888A1 (en) * 2022-08-17 2024-02-22 Applied Materials, Inc. Resonator, linear accelerator, and ion implanter having dielectric-free resonator chamber

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5103367A (en) * 1987-05-06 1992-04-07 Unisearch Limited Electrostatic chuck using A.C. field excitation
US6149730A (en) * 1997-10-08 2000-11-21 Nec Corporation Apparatus for forming films of a semiconductor device, a method of manufacturing a semiconductor device, and a method of forming thin films of a semiconductor
US20100326601A1 (en) * 1999-05-06 2010-12-30 Tokyo Electron Limited Plasma processing apparatus

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020004309A1 (en) 1990-07-31 2002-01-10 Kenneth S. Collins Processes used in an inductively coupled plasma reactor
JP2635267B2 (ja) * 1991-06-27 1997-07-30 アプライド マテリアルズ インコーポレイテッド Rfプラズマ処理装置
TW304626U (en) * 1996-06-26 1997-05-01 United Microelectronics Corp Ceramic ring structure to guide the chip to slide down to the bottom electrode in a dry etcher
US6039836A (en) 1997-12-19 2000-03-21 Lam Research Corporation Focus rings
KR100292410B1 (ko) 1998-09-23 2001-06-01 윤종용 불순물 오염이 억제된 반도체 제조용 반응 챔버
US6232236B1 (en) 1999-08-03 2001-05-15 Applied Materials, Inc. Apparatus and method for controlling plasma uniformity in a semiconductor wafer processing system
US6797639B2 (en) 2000-11-01 2004-09-28 Applied Materials Inc. Dielectric etch chamber with expanded process window
US6554954B2 (en) 2001-04-03 2003-04-29 Applied Materials Inc. Conductive collar surrounding semiconductor workpiece in plasma chamber
JP4472372B2 (ja) 2003-02-03 2010-06-02 株式会社オクテック プラズマ処理装置及びプラズマ処理装置用の電極板
CN100495654C (zh) 2003-02-03 2009-06-03 日本奥特克株式会社 等离子体处理装置和等离子体处理装置用的电极板和电极板制造方法
US20070032081A1 (en) 2005-08-08 2007-02-08 Jeremy Chang Edge ring assembly with dielectric spacer ring
US20080289766A1 (en) * 2007-05-22 2008-11-27 Samsung Austin Semiconductor Lp Hot edge ring apparatus and method for increased etch rate uniformity and reduced polymer buildup
US7758764B2 (en) 2007-06-28 2010-07-20 Lam Research Corporation Methods and apparatus for substrate processing
US8198567B2 (en) 2008-01-15 2012-06-12 Applied Materials, Inc. High temperature vacuum chuck assembly
US20140034239A1 (en) 2008-07-23 2014-02-06 Applied Materials, Inc. Differential counter electrode tuning in a plasma reactor with an rf-driven workpiece support electrode
US20140069584A1 (en) 2008-07-23 2014-03-13 Applied Materials, Inc. Differential counter electrode tuning in a plasma reactor with an rf-driven ceiling electrode
US8734664B2 (en) 2008-07-23 2014-05-27 Applied Materials, Inc. Method of differential counter electrode tuning in an RF plasma reactor
US8287650B2 (en) * 2008-09-10 2012-10-16 Applied Materials, Inc. Low sloped edge ring for plasma processing chamber
US20110097901A1 (en) 2009-10-26 2011-04-28 Applied Materials, Inc. Dual mode inductively coupled plasma reactor with adjustable phase coil assembly
US20110094994A1 (en) 2009-10-26 2011-04-28 Applied Materials, Inc. Inductively coupled plasma apparatus
DE202010014805U1 (de) 2009-11-02 2011-02-17 Lam Research Corporation (Delaware Corporation) Heissrandring mit geneigter oberer Oberfläche
US20130107415A1 (en) 2011-10-28 2013-05-02 Applied Materials, Inc. Electrostatic chuck
US8988848B2 (en) 2011-12-15 2015-03-24 Applied Materials, Inc. Extended and independent RF powered cathode substrate for extreme edge tunability
US9412579B2 (en) 2012-04-26 2016-08-09 Applied Materials, Inc. Methods and apparatus for controlling substrate uniformity

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5103367A (en) * 1987-05-06 1992-04-07 Unisearch Limited Electrostatic chuck using A.C. field excitation
US6149730A (en) * 1997-10-08 2000-11-21 Nec Corporation Apparatus for forming films of a semiconductor device, a method of manufacturing a semiconductor device, and a method of forming thin films of a semiconductor
US20100326601A1 (en) * 1999-05-06 2010-12-30 Tokyo Electron Limited Plasma processing apparatus

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI820496B (zh) * 2020-10-28 2023-11-01 大陸商北京北方華創微電子裝備有限公司 靜電卡盤及半導體工藝設備

Also Published As

Publication number Publication date
KR200490164Y1 (ko) 2019-10-04
KR20190002504U (ko) 2019-10-08
KR20170003223U (ko) 2017-09-15
CN104012185A (zh) 2014-08-27
WO2013089911A1 (en) 2013-06-20
TWM462941U (zh) 2013-10-01
WO2013089910A1 (en) 2013-06-20
KR200491575Y1 (ko) 2020-04-29
US20130154175A1 (en) 2013-06-20
KR20180002631U (ko) 2018-09-04
KR20140004718U (ko) 2014-08-19
US10825708B2 (en) 2020-11-03
TW201324674A (zh) 2013-06-16
CN204206596U (zh) 2015-03-11
KR200484428Y1 (ko) 2017-09-05
US20190221463A1 (en) 2019-07-18
KR200487340Y1 (ko) 2018-09-05
KR20140101870A (ko) 2014-08-20
KR102023679B1 (ko) 2019-09-20
US8988848B2 (en) 2015-03-24
KR20190002689U (ko) 2019-10-28
US20130155568A1 (en) 2013-06-20
KR200491576Y1 (ko) 2020-04-29
CN104012185B (zh) 2016-08-24

Similar Documents

Publication Publication Date Title
TWI553773B (zh) 用於極度邊緣可調性的延伸和獨立之射頻驅動陰極基材
CN206758401U (zh) 控制电容耦合等离子体工艺设备的边缘环的射频振幅
TWI508632B (zh) 電漿室中的可調式接地平面
TWI574345B (zh) 靜電夾盤
KR101155837B1 (ko) 기판 프로세싱용 에지 링 배열
JP7382329B2 (ja) 基板支持体のためのプロセスキット
CN113039626B (zh) 边缘环的温度及偏压控制
TWI831061B (zh) 高溫雙極靜電卡盤
US20220344134A1 (en) Process kit for a substrate support
KR102711327B1 (ko) 기판 지지부를 위한 프로세스 키트
TW202427537A (zh) 用於基板支撐件的處理套組