TWI515196B - 含有此熱交聯促進劑之含聚矽氧烷之光阻下層膜形成用組成物、及使用此組成物之圖案形成方法 - Google Patents

含有此熱交聯促進劑之含聚矽氧烷之光阻下層膜形成用組成物、及使用此組成物之圖案形成方法 Download PDF

Info

Publication number
TWI515196B
TWI515196B TW103102143A TW103102143A TWI515196B TW I515196 B TWI515196 B TW I515196B TW 103102143 A TW103102143 A TW 103102143A TW 103102143 A TW103102143 A TW 103102143A TW I515196 B TWI515196 B TW I515196B
Authority
TW
Taiwan
Prior art keywords
film
photoresist
pattern
group
forming
Prior art date
Application number
TW103102143A
Other languages
English (en)
Other versions
TW201439101A (zh
Inventor
荻原勤
美谷島祐介
浦野宏之
Original Assignee
信越化學工業股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 信越化學工業股份有限公司 filed Critical 信越化學工業股份有限公司
Publication of TW201439101A publication Critical patent/TW201439101A/zh
Application granted granted Critical
Publication of TWI515196B publication Critical patent/TWI515196B/zh

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/094Multilayer resist systems, e.g. planarising layers
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D183/00Coating compositions based on macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing silicon, with or without sulfur, nitrogen, oxygen, or carbon only; Coating compositions based on derivatives of such polymers
    • C09D183/04Polysiloxanes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0002Lithographic processes using patterning methods other than those involving the exposure to radiation, e.g. by stamping
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/075Silicon-containing compounds
    • G03F7/0752Silicon-containing compounds in non photosensitive layers or as additives, e.g. for dry lithography
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • G03F7/325Non-aqueous compositions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3081Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks

Description

含有此熱交聯促進劑之含聚矽氧烷之光阻下層膜形成用組成物、及使用此組成物之圖案形成方法
本發明係關於:熱交聯促進劑、含有此熱交聯促進劑之含聚矽氧烷之光阻下層膜形成用組成物、及使用此組成物之圖案形成方法。
作為光阻圖案形成時使用之曝光光,於1980年代已廣泛使用將水銀燈之g線(436nm)或i線(365nm)作為光源之光曝光。就進一步微細化的方法,將曝光波長予以短波長化的方法被認為有效,1990年代之64M位元(加工尺寸0.25μm以下)DRAM(動態隨機存取記憶體)以後的量產處理中,係將i線(365nm)替換為短波長之KrF準分子雷射(248nm)作為曝光光源。但是為了製造須要更微細加工技術(加工尺寸0.2μm以下)之密集度256M及1G以上之DRAM,必須使用更短波長的光源,約莫10年前起,已正式開始探討使用ArF準分子雷射(193nm)之光微影。
起初ArF微影應從180nm節點之裝置製作開始應用,但是KrF準分子微影延用到130nm節點裝置量產為止,ArF微影之正式應用是自90nm節點開始。再者,已有人將其與NA達到0.9之高的透鏡組合而實施65nm節點裝置的量產。以後的45nm節點裝置,曝光波長之短波長化繼續推進,可列舉的候選者有波長157nm之F2微影。
但是,由於投影透鏡中使用大量昂貴的CaF2單晶而造成掃描器的成本提高、由於軟性防護膠膜的耐久性極低而導入硬式防護膠膜造成光學系改變、光阻膜之蝕刻耐性低落等各種問題,所以F2微影之開發中止,並導入了ArF浸潤式微影。
ArF浸潤式微影中,係在投影透鏡與晶圓之間以部分填入(partial fill)方式插入折射率1.44之水,藉此可進行高速掃描,並以NA1.3級之透鏡實施45nm節點裝置之量產。
32nm節點之微影技術,可舉例波長13.5nm之真空紫外光(EUV)微影為候選者。EUV微影的問題例如有:雷射之高輸出化、光阻膜之高感度化、高解像度化、低線邊緣粗糙度(LER)化、無缺陷MoSi疊層遮罩、反射鏡之低色差化等,待克服的問題堆積如山。
32nm節點的又一候選者的高折射率浸潤式微影,由於高折射率透鏡候選者LUAG的穿透率低、及液體之折射率達不到目標的1.8,所以已中止開發。
如以上,已作為泛用技術使用之光曝光,逐漸逼近來自光源波長之固有解像度之極限。因此,近年來,利用以往鹼顯影之正調所為之圖案形成無法達成的非常微細之孔洞圖案可利用有機溶劑顯影之負調予以形成的有機溶劑顯影,再度受到重視。此係使用高解像性之正型光阻組成物並以有機溶劑顯影形成負圖案之處理。再者,藉由組合鹼顯影與有機溶劑顯影之2次顯影以獲得2倍解像力的探討也正在進行中(專利文獻1~3)。
作為將如此之微影圖案轉印到基板之一種方法,有多層光阻法。此方法係:使蝕刻選擇性與光阻膜亦即光阻上層膜相異之中間膜,例如含矽光阻下層膜,介隔於光阻上層膜與被加工基板之間,於光阻上層膜獲得圖案後,以上層光阻圖案作為乾蝕刻遮罩,利用乾蝕刻將圖案轉印至光阻下層膜,再以光阻下層膜作為乾蝕刻遮罩,利用乾蝕刻將圖案轉印至被加工基板之 方法。
如此之多層光阻法中所使用者,以含矽膜形成用組成物為人所熟知。例如,有:以CVD形成之含矽無機膜,如SiO2膜(例如,專利文獻4等)或SiON膜(例如,專利文獻5等);以旋轉塗佈獲得膜者,如SOG(旋塗式玻璃)膜(例如,專利文獻6等)或交聯性倍半矽氧烷膜(例如,專利文獻7等)等。
【先前技術文獻】
【專利文獻】
【專利文獻1】日本特開2008-281974號公報
【專利文獻2】日本特開2008-281980號公報
【專利文獻3】日本特開2009-53657號公報
【專利文獻4】日本特開平7-183194號公報
【專利文獻5】日本特開平7-181688號公報
【專利文獻6】日本特開2007-302873號公報
【專利文獻7】日本特表2005-520354號公報
本案發明人等,過去針對含矽光阻下層膜形成用組成物之微影特性、安定性努力探討,提供如已揭示於日本專利公報4716037號之含有熱交聯促進劑的含矽光阻下層膜形成用組成物,藉此可提供蝕刻選擇性與保存安定性良好的含矽光阻下層膜。
然而,半導體裝置更趨微細化,為了防止上層光阻圖案崩塌,上層光阻持續薄膜化。因此,就光阻下層膜所需的性能而言,即使面臨膜厚比以往更薄的上層光阻圖案,仍要求蝕刻選擇性之改善。
本發明係鑑於上述情事而成者,目的為:提供進一步改善與上層光阻之蝕刻選擇性、即使面臨更微細的圖案仍比使用習知之含矽光阻下層膜的情況更能改善蝕刻後之圖案形狀的熱交聯促進劑。
本發明係為了解決上述課題而成者,提供:一種聚矽氧烷化合物之熱交聯促進劑,其特徵為:係下列通式(A-1)表示者。
(R11、R12、R13、R14各表示氫原子、鹵素原子、碳數1~20之直鏈狀、分支狀或環狀之烷基、烯基、側氧烷基或側氧烯基、碳數6~20之經取代或未經取代之芳基、或碳數7~20之芳烷基或芳基側氧烷基,該等基之氫原子之一部分或全部可被取代為烷氧基、胺基、烷基胺基、鹵素原子、三甲基矽基。a、b、c、d為0~5之整數。又,a、b、c、d為2以上時,R11、R12、R13、R14也可形成環狀結構。L為鋰、鈉、鉀、銣、銫或下列通式(A-2)、(A-3)、(A-4)或(A-5)表示之相對離子。)
(式中,R21、R22、R23、R24各表示碳數1~20之直鏈狀、分支狀或環狀之烷基、烯基、側氧烷基或側氧烯基、碳數6~20之經取代或未經取代之芳基、或碳數7~12之芳烷基或芳基側氧烷基,該等基之氫原子之一部分或全部也可被取代為鹵素原子、烷基、烷氧基、三甲基矽基。又,R21與R22、R21與R22與R23也可形成環,當形成環時,R21與R22及R21與R22與R23表示碳數3~10之伸烷基。R31、R32、R33係同R21、R22、R23、R24,或亦可為氫原子。R32與R33也可形成環,當形成環時,R32、R33各表示碳數1~6之伸烷基。)
藉由將如此之熱交聯促進劑用於例如含聚矽氧烷之光阻下層膜,會對其上形成之光阻圖案展現良好之密合性,而且會對形成於上部的光阻圖案 及形成於下部的例如有機膜的兩者展現高蝕刻選擇性,所以將形成之光阻圖案採用乾蝕刻處理依序轉印至含聚矽氧烷之光阻下層膜、有機下層膜時,能夠以良好的圖案形狀轉印圖案。藉此,最終可將於上層光阻形成之圖案以高精度轉印至基板。
又,提供:一種含聚矽氧烷之光阻下層膜形成用組成物,其含有本發明之熱交聯促進劑及聚矽氧烷。
若為如此之含聚矽氧烷之光阻下層膜形成用組成物,與形成於含聚矽氧烷之光阻下層膜上之光阻圖案的密合性為良好,與係含聚矽氧烷之光阻下層膜之上層的光阻膜、及與係下層之例如有機膜之間會具有良好的乾蝕刻選擇性。
此時,較佳為含聚矽氧烷之光阻下層膜形成用組成物,其中,該聚矽氧烷含有下列通式(B-1)表示之化合物、其水解物、其縮合物、其水解縮合物中的1種以上。
R1B B1R2B B2R3B B3Si(OR0B)(4-B1-B2-B3) (B-1)
(式中,R0B為碳數1~6之烴基,R1B、R2B、R3B為氫原子或1價有機基。又,B1、B2、B3為0或1,0≦B1+B2+B3≦3。)
若為如此之含聚矽氧烷之光阻下層膜形成用組成物,前述密合性及前述乾蝕刻選擇性會變得更優異故較佳。
又,提供:一種圖案形成方法,其特徵為:於被加工體上使用塗佈型有機下層膜材料形成有機下層膜,於該有機下層膜上使用本發明之含聚矽氧烷之光阻下層膜形成用組成物形成含聚矽氧烷之光阻下層膜,於該含聚矽氧烷之光阻下層膜上形成光阻圖案,將該已形成圖案之光阻膜作為遮罩利用乾蝕刻將圖案轉印至該光阻下層膜,將該已轉印有圖案之光阻下層膜作為遮罩利用乾蝕刻將圖案轉印至該有機下層膜,然後將該已轉印有圖案之有機下層膜作為遮罩利用乾蝕刻將圖案轉印至該被加工體。
又,提供:一種圖案形成方法,其特徵為:於被加工體上以CVD法形成以碳為主成分的有機硬遮罩,於該有機硬遮罩上使用本發明之含聚矽氧烷之光阻下層膜形成用組成物形成含聚矽氧烷之光阻下層膜,於該含聚矽氧烷之光阻下層膜上形成光阻圖案,將該已形成圖案之光阻膜作為遮罩利用乾蝕刻將圖案轉印至該光阻下層膜,將該已轉印有圖案之光阻下層膜作為遮罩利用乾蝕刻將圖案轉印至該有機硬遮罩,然後將該已轉印有圖案之有機硬遮罩作為遮罩利用乾蝕刻將圖案轉印至該被加工體。
如此般,若為以使用本發明之組成物的3層光阻法進行之圖案形成方法,能夠於基板形成高精度的微細圖案。
又,此時,該被加工體宜為:半導體裝置基板、金屬膜、金屬碳化膜、金屬氧化膜、金屬氮化膜、金屬氧化碳化膜或金屬氧化氮化膜。
又,此時,構成該被加工體之金屬宜為:矽、鈦、鎢、鉿、鋯、鉻、鍺、銅、鋁、銦、鎵、砷、鈀、鐵、鉭、銥、鉬或該等之合金。
又,此時,較佳係以定向自組裝法(Method of Directed Self Assembly,DSA法)或奈米壓印微影法形成光阻圖案。
若為使用該等之圖案形成方法,更能夠於基板形成高精度的微細圖案。
又,此時,該光阻圖案之形成也可:使用化學增幅型光阻組成物形成光阻膜,於加熱處理後以高能射線將該光阻膜曝光,使用鹼顯影液使該光阻膜之曝光部溶解而形成正型圖案。
又,此時,該光阻圖案之形成也可:使用化學增幅型光阻組成物形成光阻膜,於加熱處理後以高能射線將該光阻膜曝光,使用有機溶劑之顯影液使該光阻膜之未曝光部溶解而形成負型圖案。
如此進行,能以高精度形成微細的正型圖案、負型圖案。
又,此時,該使用高能射線的微影法宜為:使用300nm以下之光的微影法、使用EUV光的微影法或電子束直接描繪法。
如此般,本發明最適合:藉由使用波長300nm以下之光的微影形成高精度的微細圖案。
若為本發明之聚矽氧烷化合物之熱交聯促進劑,藉由使用於例如含聚矽氧烷之光阻下層膜,會對其上形成之光阻圖案展現良好之密合性,而且會對形成於上部的光阻圖案及形成於下部的例如有機膜的兩者展現高蝕刻選擇性,所以將形成之光阻圖案以乾蝕刻處理依序轉印至含聚矽氧烷之光阻下層膜、有機下層膜時,能夠以良好的圖案形狀轉印圖案。藉此,最終可將於上層光阻形成之圖案以高精度轉印至基板。
以下,詳細說明本發明,但本發明不限於該等。
作為本發明之熱交聯促進劑使用的下列通式(A-1)表示的化合物的陰離子部分,以下列結構(A-1a)表示。
(R11、R12、R13、R14各表示氫原子、鹵素原子、碳數1~20之直鏈狀、分支狀或環狀之烷基、烯基、側氧烷基或側氧烯基、碳數6~20之經取代或未經取代之芳基、或碳數7~20之芳烷基或芳基側氧烷基,該等基之氫原子之一部分或全部可被取代為烷氧基、胺基、烷基胺基、鹵素原子、三甲基矽基。a、b、c、d為0~5之整數。又,a、b、c、d為2以上時,R11、R12、R13、R14也可形成環狀結構。)
具體而言,可例示以下者。
前述通式(A-1)表示之化合物之陽離子部分為鋰、鈉、鉀、銣、銫或下列通式(A-2)、(A-3)、(A-4)或(A-5)表示之相對離子。
(A-2),可使用以下者。
(式中,R21、R22、R23、R24各表示碳數1~20之直鏈狀、分支狀或環狀之烷基、烯基、側氧烷基或側氧烯基、碳數6~20之經取代或未經取代之芳基、或碳數7~12之芳烷基或芳基側氧烷基,該等基之氫原子之一部分或全部也可被取代為鹵素原子、烷基、烷氧基、三甲基矽基。又,R21與R22、R21與R22與R23也可形成環,當形成環時,R21與R22及R21與R22與R23表示碳數3~10之伸烷基。)
具體而言,可例示以下結構。
(A-3),可使用以下者。
(R21~R24,同前述(A-2)。)
具體而言,可例示以下者。
(A-4),可使用以下者。
(R31~R33係同前述(A-2)記載之R21~R24,或亦可為氫原子。R32與R33也可形成環,當形成環時,R32、R33各表示碳數1~6之伸烷基。)
具體而言,可例示以下者。
(A-5),可使用以下者。
(R31、R32係同前述(A-4)記載之R31、R32。)
具體而言,可例示以下者。
又,本發明之熱交聯促進劑可單獨使用1種或組合2種以上來使用。熱交聯促進劑之添加量,相對於基礎聚合物(以後述方法獲得之聚矽氧烷)100質量份,較佳為0.01~50質量份,更佳為0.1~40質量份。
藉由將如此之熱交聯促進劑用於例如含聚矽氧烷之光阻下層膜,會對其上形成之光阻圖案展現良好之密合性,而且會對形成於上部的光阻圖案及形成於下部的例如有機膜的兩者展現高蝕刻選擇性,所以將形成之光阻圖案採用乾蝕刻處理依序轉印至含聚矽氧烷之光阻下層膜、有機下層膜時,能夠以良好的圖案形狀轉印圖案。藉此,最終可將於上層光阻形成之圖案以高精度轉印至基板。
本發明之光阻下層膜形成用組成物所含之聚矽氧烷,含有下列通式 (B-1)表示之化合物、其水解物、其縮合物、其水解縮合物中的1種以上。
R1B B1R2B B2R3B B3Si(OR0B)(4-B1-B2-B3)(B-1)
(式中,R0B為碳數1~6之烴基,R1B、R2B、R3B為氫原子或1價有機基。又,B1、B2、B3為0或1,為0≦B1+B2+B3≦3。)
作為前述聚矽氧烷之原料(起始物質)使用之水解性矽化合物(B-1),可例示以下者。
可例示:三甲氧基矽烷、三乙氧基矽烷、三丙氧基矽烷、三異丙氧基矽烷、甲基三甲氧基矽烷、甲基三乙氧基矽烷、甲基三丙氧基矽烷、甲基三異丙氧基矽烷、乙基三甲氧基矽烷、乙基三乙氧基矽烷、乙基三丙氧基矽烷、乙基三異丙氧基矽烷、乙烯基三甲氧基矽烷、乙烯基三乙氧基矽烷、乙烯基三丙氧基矽烷、乙烯基三異丙氧基矽烷、丙基三甲氧基矽烷、丙基三乙氧基矽烷、丙基三丙氧基矽烷、丙基三異丙氧基矽烷、異丙基三甲氧基矽烷、異丙基三乙氧基矽烷、異丙基三丙氧基矽烷、異丙基三異丙氧基矽烷、丁基三甲氧基矽烷、丁基三乙氧基矽烷、丁基三丙氧基矽烷、丁基三異丙氧基矽烷、第二丁基三甲氧基矽烷、第二丁基三乙氧基矽烷、第二丁基三丙氧基矽烷、第二丁基三異丙氧基矽烷、第三丁基三甲氧基矽烷、第三丁基三乙氧基矽烷、第三丁基三丙氧基矽烷、第三丁基三異丙氧基矽烷、環丙基三甲氧基矽烷、環丙基三乙氧基矽烷、環丙基三丙氧基矽烷、環丙基三異丙氧基矽烷、環丁基三甲氧基矽烷、環丁基三乙氧基矽烷、環丁基三丙氧基矽烷、環丁基三異丙氧基矽烷、環戊基三甲氧基矽烷、環戊基三乙氧基矽烷、環戊基三丙氧基矽烷、環戊基三異丙氧基矽烷、環己基三甲氧基矽烷、環己基三乙氧基矽烷、環己基三丙氧基矽烷、環己基三異丙氧基矽烷、環己烯基三甲氧基矽烷、環己烯基三乙氧基矽烷、環己烯基三丙氧基矽烷、環己烯基三異丙氧基矽烷、環己烯基乙基三甲氧基矽烷、環己烯基乙基三乙氧基矽烷、環己烯基乙基三丙氧基矽烷、環己烯基乙基三異丙氧基矽烷、環辛基三甲氧基矽烷、環辛基三乙氧基矽烷、環辛基三丙氧基矽烷、環辛基三異丙氧基矽烷、環戊二烯基丙基三甲氧基矽烷、環戊二烯基丙基三乙氧基矽烷、環戊二烯基丙基三丙氧基矽烷、環戊二烯基丙基三異丙氧基矽烷、雙環庚烯基三甲氧基矽烷、雙環庚烯基三乙氧基矽 烷、雙環庚烯基三丙氧基矽烷、雙環庚烯基三異丙氧基矽烷、雙環庚基三甲氧基矽烷、雙環庚基三乙氧基矽烷、雙環庚基三丙氧基矽烷、雙環庚基三異丙氧基矽烷、金剛烷基三甲氧基矽烷、金剛烷基三乙氧基矽烷、金剛烷基三丙氧基矽烷、金剛烷基三異丙氧基矽烷、苯基三甲氧基矽烷、苯基三乙氧基矽烷、苯基三丙氧基矽烷、苯基三異丙氧基矽烷、苄基三甲氧基矽烷、苄基三乙氧基矽烷、苄基三丙氧基矽烷、苄基三異丙氧基矽烷、甲苯基三甲氧基矽烷、甲苯基三乙氧基矽烷、甲苯基三丙氧基矽烷、甲苯基三異丙氧基矽烷、大茴香基三甲氧基矽烷、大茴香基三乙氧基矽烷、大茴香基三丙氧基矽烷、大茴香基三異丙氧基矽烷、苯乙基三甲氧基矽烷、苯乙基三乙氧基矽烷、苯乙基三丙氧基矽烷、苯乙基三異丙氧基矽烷、萘基三甲氧基矽烷、萘基三乙氧基矽烷、萘基三丙氧基矽烷、萘基三異丙氧基矽烷、二甲基二甲氧基矽烷、二甲基二乙氧基矽烷、甲基乙基二甲氧基矽烷、甲基乙基二乙氧基矽烷、二甲基二丙氧基矽烷、二甲基二異丙氧基矽烷、二乙基二甲氧基矽烷、二乙基二乙氧基矽烷、二乙基二丙氧基矽烷、二乙基二異丙氧基矽烷、二丙基二甲氧基矽烷、二丙基二乙氧基矽烷、二丙基二丙氧基矽烷、二丙基二異丙氧基矽烷、二異丙基二甲氧基矽烷、二異丙基二乙氧基矽烷、二異丙基二丙氧基矽烷、二異丙基二異丙氧基矽烷、二丁基二甲氧基矽烷、二丁基二乙氧基矽烷、二丁基二丙氧基矽烷、二丁基二異丙氧基矽烷、二第二丁基二甲氧基矽烷、二第二丁基二乙氧基矽烷、二第二丁基二丙氧基矽烷、二第二丁基二異丙氧基矽烷、二第三丁基二甲氧基矽烷、二第三丁基二乙氧基矽烷、二第三丁基二丙氧基矽烷、二第三丁基二異丙氧基矽烷、二環丙基二甲氧基矽烷、二環丙基二乙氧基矽烷、二環丙基二丙氧基矽烷、二環丙基二異丙氧基矽烷、二環丁基二甲氧基矽烷、二環丁基二乙氧基矽烷、二環丁基二丙氧基矽烷、二環丁基二異丙氧基矽烷、二環戊基二甲氧基矽烷、二環戊基二乙氧基矽烷、二環戊基二丙氧基矽烷、二環戊基二異丙氧基矽烷、二環己基二甲氧基矽烷、二環己基二乙氧基矽烷、二環己基二丙氧基矽烷、二環己基二異丙氧基矽烷、二環己烯基二甲氧基矽烷、二環己烯基二乙氧基矽烷、二環己烯基二丙氧基矽烷、二環己烯基二異丙氧基矽烷、二環己烯基乙基二甲氧基矽烷、二環己烯基乙基二乙氧基矽烷、二環己烯基乙基二丙氧基矽烷、二環己烯基乙基 二異丙氧基矽烷、二環辛基二甲氧基矽烷、二環辛基二乙氧基矽烷、二環辛基二丙氧基矽烷、二環辛基二異丙氧基矽烷、二環戊二烯基丙基二甲氧基矽烷、二環戊二烯基丙基二乙氧基矽烷、二環戊二烯基丙基二丙氧基矽烷、二環戊二烯基丙基二異丙氧基矽烷、雙(雙環庚烯基)二甲氧基矽烷、雙(雙環庚烯基)二乙氧基矽烷、雙(雙環庚烯基)二丙氧基矽烷、雙(雙環庚烯基)二異丙氧基矽烷、雙(雙環庚基)二甲氧基矽烷、雙(雙環庚基)二乙氧基矽烷、雙(雙環庚基)二丙氧基矽烷、雙(雙環庚基)二異丙氧基矽烷、二金剛烷基二甲氧基矽烷、二金剛烷基二乙氧基矽烷、二金剛烷基二丙氧基矽烷、二金剛烷基二異丙氧基矽烷、二苯基二甲氧基矽烷、二苯基二乙氧基矽烷、甲基苯基二甲氧基矽烷、甲基苯基二乙氧基矽烷、二苯基二丙氧基矽烷、二苯基二異丙氧基矽烷、三甲基甲氧基矽烷、三甲基乙氧基矽烷、二甲基乙基甲氧基矽烷、二甲基乙基乙氧基矽烷、二甲基苯基甲氧基矽烷、二甲基苯基乙氧基矽烷、二甲基苄基甲氧基矽烷、二甲基苄基乙氧基矽烷、二甲基苯乙基甲氧基矽烷、二甲基苯乙基乙氧基矽烷等。
此外,通式(B-1)表示之化合物,還可使用下列結構表示的於矽上含有作為水解性基:OR0B之2個或3個甲氧基、乙氧基、丙氧基、丁氧基、戊氧基、環戊氧基、己氧基、環己氧基、苯氧基者。
本發明使用之聚矽氧烷之原料,除了前述通式(B-1)之外,可再例示水解性金屬化合物(B-2)。
L’(OR4B)B4(OR5B)B5(O)B6 (B-2)
(式中,R4B、R5B為碳數1~30之有機基,B4+B5+B6係依L’之種類而決定的價數,B4、B5、B6為0以上整數,L’為周期表之III族、IV族、或V族之元素且排除碳。)
(B-2),可例示以下者。當L’為硼時,就前述通式(B-2)表示之化合物,可例示:甲氧化硼、乙氧化硼、丙氧化硼、丁氧化硼、戊氧化硼、己氧化硼、環戊氧化硼、環己氧化硼、烯丙氧化硼、苯氧化硼、甲氧基乙氧化硼、硼酸、氧化硼等作為單體。
當L’為鋁時,就前述通式(B-2)表示之化合物,可例示:甲氧化鋁、乙氧化鋁、丙氧化鋁、丁氧化鋁、戊氧化鋁、己氧化鋁、環戊氧化鋁、環己氧化鋁、烯丙氧化鋁、苯氧化鋁、甲氧基乙氧化鋁、乙氧基乙氧化鋁、二丙氧基乙基乙醯乙酸鋁、二丁氧基乙基乙醯乙酸鋁、丙氧基雙乙基乙醯乙酸鋁、丁氧基雙乙基乙醯乙酸鋁、2,4-戊烷二酮酸鋁、2,2,6,6-四甲基-3,5-庚烷二酮酸鋁等作為單體。
當L’為鎵時,就前述通式(B-2)表示之化合物,可例示:甲氧化鎵、乙氧化鎵、丙氧化鎵、丁氧化鎵、戊氧化鎵、己氧化鎵、環戊氧化鎵、環己氧化鎵、烯丙氧化鎵、苯氧化鎵、甲氧基乙氧化鎵、乙氧基乙氧化鎵、二丙氧基乙基乙醯乙酸鎵、二丁氧基乙基乙醯乙酸鎵、丙氧基雙乙基乙醯乙酸鎵、丁氧基雙乙基乙醯乙酸鎵、2,4-戊烷二酮酸鎵、2,2,6,6-四甲基-3,5-庚烷二酮酸鎵等作為單體。
當L’為釔時,就前述通式(B-2)表示之化合物,可例示:甲氧化釔、乙氧化釔、丙氧化釔、丁氧化釔、戊氧化釔、己氧化釔、環戊氧化釔、環己氧化釔、烯丙氧化釔、苯氧化釔、甲氧基乙氧化釔、乙氧基乙氧化釔、二丙氧基乙基乙醯乙酸釔、二丁氧基乙基乙醯乙酸釔、丙氧基雙乙基乙醯乙酸釔、丁氧基雙乙基乙醯乙酸釔、2,4-戊烷二酮酸釔、2,2,6,6-四甲基-3,5-庚烷二酮酸釔等作為單體。
當L’為鍺時,就前述通式(B-2)表示之化合物,可例示:甲氧化鍺、乙氧化鍺、丙氧化鍺、丁氧化鍺、戊氧化鍺、己氧化鍺、環戊氧化鍺、環己氧化鍺、烯丙氧化鍺、苯氧化鍺、甲氧基乙氧化鍺、乙氧基乙氧化鍺等作為單體。
當L’為鈦時,就前述通式(B-2)表示之化合物,可例示:甲氧化鈦、乙氧化鈦、丙氧化鈦、丁氧化鈦、戊氧化鈦、己氧化鈦、環戊氧化鈦、環己氧化鈦、烯丙氧化鈦、苯氧化鈦、甲氧基乙氧化鈦、乙氧基乙氧化鈦、二丙氧基雙乙基乙醯乙酸鈦、二丁氧基雙乙基乙醯乙酸鈦、二丙氧基雙2,4-戊烷二酮酸鈦、二丁氧基雙2,4-戊烷二酮酸鈦等作為單體。
當L’為鉿時,就前述通式(B-2)表示之化合物,可例示:甲氧化鉿、乙氧化鉿、丙氧化鉿、丁氧化鉿、戊氧化鉿、己氧化鉿、環戊氧化鉿、環己氧化鉿、烯丙氧化鉿、苯氧化鉿、甲氧基乙氧化鉿、乙氧基乙氧化鉿、二丙氧基雙乙基乙醯乙酸鉿、二丁氧基雙乙基乙醯乙酸鉿、二丙氧基雙2,4-戊烷二酮酸鉿、二丁氧基雙2,4-戊烷二酮酸鉿等作為單體。
當L’為錫時,就前述通式(B-2)表示之化合物,可例示:甲氧化錫、乙氧化錫、丙氧化錫、丁氧化錫、苯氧化錫、甲氧基乙氧化錫、乙氧基乙氧化錫、2,4-戊烷二酮酸錫、2,2,6,6-四甲基-3,5-庚烷二酮酸錫等作為單體。
當L’為砷時,就前述通式(B-2)表示之化合物,可例示:甲氧化砷、乙氧化砷、丙氧化砷、丁氧化砷、苯氧化砷等作為單體。
當L’為銻時,就前述通式(B-2)表示之化合物,可例示:甲氧化銻、乙氧化銻、丙氧化銻、丁氧化銻、苯氧化銻、乙酸銻、丙酸銻等作為單體。
當L’為鈮時,就前述通式(B-2)表示之化合物,可例示:甲氧化鈮、乙氧化鈮、丙氧化鈮、丁氧化鈮、苯氧化鈮等作為單體。
當L’為鉭時,就前述通式(B-2)表示之化合物,可例示:甲氧化鉭、乙氧化鉭、丙氧化鉭、丁氧化鉭、苯氧化鉭等作為單體。
當L’為鉍時,就前述通式(B-2)表示之化合物,可例示:甲氧化鉍、乙氧 化鉍、丙氧化鉍、丁氧化鉍、苯氧化鉍等作為單體。
當L’為磷時,就前述通式(B-2)表示之化合物,可例示:亞磷酸三甲酯、亞磷酸三乙酯、亞磷酸三丙酯、磷酸三甲酯、磷酸三乙酯、磷酸三丙酯、五氧化二磷等作為單體。
當L’為釩時,就前述通式(B-2)表示之化合物,可例示:雙(2,4-戊烷二酮酸)氧化釩、2,4-戊烷二酮酸釩、三丁氧化氧化釩、三丙氧化氧化釩等作為單體。
當L’為鋯時,就前述通式(B-2)表示之化合物,可例示:甲氧化鋯、乙氧化鋯、丙氧化鋯、丁氧化鋯、苯氧化鋯、二丁氧化雙(2、4-戊烷二酮酸)鋯、二丙氧化雙(2,2,6,6-四甲基-3,5-庚烷二酮酸)鋯等作為單體。
當L’為鉭時,就前述通式(B-2)表示之化合物,可例示:甲氧化鉭、乙氧化鉭、丙氧化鉭、丁氧化鉭、苯氧化鉭等作為單體。
可選擇如此般例示的單體1種以上,作為在反應前或反應中混合而形成聚矽氧烷所需之反應原料。
本發明使用之聚矽氧烷,例如可藉由使用選自無機酸、脂肪族磺酸及芳香族磺酸中之一種以上之化合物作為酸觸媒,將前述通式(B-1)表示之化合物及視需要之前述通式(B-2)表示之化合物進行水解縮合以製造。
此時使用的酸觸媒,可舉例:氟酸、鹽酸、氫溴酸、硫酸、硝酸、過氯酸、磷酸、甲烷磺酸、苯磺酸、甲苯磺酸等。觸媒之使用量,相對於單體1莫耳為10-6~10莫耳,較佳為10-5~5莫耳,更佳為10-4~1莫耳。
由該等單體經水解縮合獲得聚矽氧烷時所需之水量,就鍵結於單體的水解性取代基每1莫耳宜添加0.01~100莫耳,更佳為0.05~50莫耳,進一步更佳為0.1~30莫耳。若添加超過100莫耳,只會使反應使用之裝置過度龐大, 不符合經濟性。
操作方法,係於觸媒水溶液添加單體使水解縮合反應開始。此時,可將有機溶劑添加於觸媒水溶液,也可將單體預先以有機溶劑稀釋,也可兩者均實施。反應溫度為0~100℃,較佳為5~80℃。較佳方法為:單體滴加時將溫度保持於5~80℃,之後於20~80℃使熟成。
能添加於觸媒水溶液、或能稀釋單體之有機溶劑,較佳為:甲醇、乙醇、1-丙醇、2-丙醇、1-丁醇、2-丁醇、2-甲基-1-丙醇、丙酮、乙腈、四氫呋喃、甲苯、己烷、乙酸乙酯、環己酮、甲基戊酮、丁二醇單甲醚、丙二醇單甲醚、乙二醇單甲醚、丁二醇單乙醚、丙二醇單乙醚、乙二醇單乙醚、丙二醇二甲醚、二乙二醇二甲醚、丙二醇單甲醚乙酸酯、丙二醇單乙醚乙酸酯、丙酮酸乙酯、乙酸丁酯、3-甲氧基丙酸甲酯、3-乙氧基丙酸乙酯、乙酸三級丁酯、丙酸三級丁酯、丙二醇單三級丁醚乙酸酯、γ-丁內酯及該等之混合物等。
該等溶劑之中較佳者為水溶性者。例如,可舉例:甲醇、乙醇、1-丙醇、2-丙醇等醇類、乙二醇、丙二醇等多元醇、丁二醇單甲醚、丙二醇單甲醚、乙二醇單甲醚、丁二醇單乙醚、丙二醇單乙醚、乙二醇單乙醚、丁二醇單丙醚、丙二醇單丙醚、乙二醇單丙醚等多元醇縮合物衍生物、丙酮、乙腈、四氫呋喃等。其中特佳者為沸點100℃以下者。
又,有機溶劑之使用量,相對於單體1莫耳宜為0~1,000ml,以0~500ml尤佳。有機溶劑之使用量若多,反應容器會變得過度龐大,不符合經濟性。
之後視需要實施觸媒之中和反應,將水解縮合反應生成之醇予以減壓去除,獲得反應混合物水溶液。此時可用於中和之鹼性物質之量,以相對於觸媒使用之酸為0.1~2當量較理想。此鹼性物質可為在水中呈鹼性的任意物質。
接著,宜從反應混合物將水解縮合反應中生成之醇等副產物去除。此時加熱反應混合物之溫度,取決於添加之有機溶劑及反應生成之醇等的種類而定,較佳為0~100℃,更佳為10~90℃,進一步更佳為15~80℃。又,此時之減壓度會視待去除之有機溶劑及醇等之種類、排氣裝置、冷凝裝置及加熱溫度而異,較佳為大氣壓力以下,更佳為絕對壓力80kPa以下,進一步更佳為絕對壓力50kPa以下。此時難以正確得知去除的醇量,但希望將生成的醇等的約80質量%以上去除。
其次也可從反應混合物去除水解縮合使用的酸觸媒。去除酸觸媒的方法,係將水與聚矽氧烷混合,並將聚矽氧烷以有機溶劑萃取。此時使用之有機溶劑,宜為能溶解聚矽氧烷且若與水混合會分離為2層者。例如可舉例:甲醇、乙醇、1-丙醇、2-丙醇、1-丁醇、2-丁醇、2-甲基-1-丙醇、丙酮、四氫呋喃、甲苯、己烷、乙酸乙酯、環己酮、甲基戊酮、丁二醇單甲醚、丙二醇單甲醚、乙二醇單甲醚、丁二醇單乙醚、丙二醇單乙醚、乙二醇單乙醚、丁二醇單丙醚、丙二醇單丙醚、乙二醇單丙醚、丙二醇二甲醚、二乙二醇二甲醚、丙二醇單甲醚乙酸酯、丙二醇單乙醚乙酸酯、丙酮酸乙酯、乙酸丁酯、3-甲氧基丙酸甲酯、3-乙氧基丙酸乙酯、乙酸第三丁酯、丙酸第三丁酯、丙二醇單第三丁醚乙酸酯、γ-丁內酯、甲基異丁基酮、環戊基甲醚等及該等之混合物。
再者,也可使用水溶性有機溶劑與水難溶性有機溶劑之混合物。例如甲醇+乙酸乙酯、乙醇+乙酸乙酯、1-丙醇+乙酸乙酯、2-丙醇+乙酸乙酯、丁二醇單甲醚+乙酸乙酯、丙二醇單甲醚+乙酸乙酯、乙二醇單甲醚+乙酸乙酯、丁二醇單乙醚+乙酸乙酯、丙二醇單乙醚+乙酸乙酯、乙二醇單乙醚+乙酸乙酯、丁二醇單丙醚+乙酸乙酯、丙二醇單丙醚+乙酸乙酯、乙二醇單丙醚+乙酸乙酯、甲醇+甲基異丁基酮、乙醇+甲基異丁基酮、1-丙醇+甲基異丁基酮、2-丙醇+甲基異丁基酮、丙二醇單甲醚+甲基異丁基酮、乙二醇單甲醚+甲基異丁基酮、丙二醇單乙醚+甲基異丁基酮、乙二醇單乙醚+甲基異丁基酮、丙二醇單丙醚+甲基異丁基酮、乙二醇單丙醚+甲基異丁基酮、甲醇+環戊基甲醚、乙醇+環戊基甲醚、1-丙醇+環戊基甲 醚、2-丙醇+環戊基甲醚、丙二醇單甲醚+環戊基甲醚、乙二醇單甲醚+環戊基甲醚、丙二醇單乙醚+環戊基甲醚、乙二醇單乙醚+環戊基甲醚、丙二醇單丙醚+環戊基甲醚、乙二醇單丙醚+環戊基甲醚、甲醇+丙二醇甲醚乙酸酯、乙醇+丙二醇甲醚乙酸酯、1-丙醇+丙二醇甲醚乙酸酯、2-丙醇+丙二醇甲醚乙酸酯、丙二醇單甲醚+丙二醇甲醚乙酸酯、乙二醇單甲醚+丙二醇甲醚乙酸酯、丙二醇單乙醚+丙二醇甲醚乙酸酯、乙二醇單乙醚+丙二醇甲醚乙酸酯、丙二醇單丙醚+丙二醇甲醚乙酸酯、乙二醇單丙醚+丙二醇甲醚乙酸酯等組合為較佳,但不限於此等組合。
又,水溶性有機溶劑與水難溶性有機溶劑之混合比例可適當選定,相對於水難溶性有機溶劑100質量份,水溶性有機溶劑為0.1~1,000質量份,較佳為1~500質量份,更佳為2~100質量份。
接著,也可以用中性水洗淨。此水可使用通常稱為去離子水、超純水者。此水之量,相對於聚矽氧烷溶液1L為0.01~100L,較佳為0.05~50L,更佳為0.1~5L。洗淨方法,可將兩者裝於同一容器並予以攪動混合後,靜置,分離水層。洗淨次數有1次以上即可,但即使洗10次以上也不會獲得相應於洗淨次數的效果,故較佳為1~5次左右。
此外,去除酸觸媒的方法,可再舉例:利用離子交換樹脂的方法、利用環氧乙烷、環氧丙烷等環氧化合物中和後去除之方法。該等方法,可配合反應使用的酸觸媒適當選擇。
由於此時之水洗操作,聚矽氧烷的一部分會進入水層,有時可獲得實質上與區分操作同等的效果,所以水洗次數或洗淨水之量,評估觸媒去除效果及區分效果適當選擇即可。
在尚有酸觸媒殘留之聚矽氧烷及已去除酸觸媒之聚矽氧烷溶液之任一情況下,皆可藉由添加最後的溶劑並以減壓進行溶劑交換而獲得聚矽氧烷溶液。此時之溶劑交換之溫度,取決於待去除之反應溶劑或萃取溶劑之種類,較佳為0~100℃,更佳為10~90℃,進一歩更佳為15~80℃。又,此時之 減壓度會視待去除之萃取溶劑之種類、排氣裝置、冷凝裝置及加熱溫度而異,較佳為大氣壓力以下,更佳為絕對壓力80kPa以下,進一歩更佳為絕對壓力50kPa以下。
此時,會有因溶劑改變使得聚矽氧烷變得不安定之情形。此現象會視最終的溶劑與聚矽氧烷的相容性而發生,為防止此現象,也可加入後述成分作為安定劑。加入量,相對於溶劑交換前之溶液中之聚矽氧烷100質量份為0~25質量份,較佳為0~15質量份,更佳為0~5質量份,但添加時以0.5質量份以上較佳。視需要,可對於溶劑交換前之溶液添加後述安定劑之成分而進行溶劑交換操作。
聚矽氧烷,若濃縮到某個濃度以上會進行縮合反應,而變成對有機溶劑無法再溶解之狀態。所以,宜使維持在適當濃度之溶液狀態。又,若太稀,溶劑之量會變得過大而不符合經濟性。此時之濃度,以0.1~20質量%較佳。
加入到聚矽氧烷溶液的最終溶劑,較佳者為醇系溶劑,尤佳者為乙二醇、二乙二醇、三乙二醇、丙二醇、二丙二醇、丁二醇等單烷醚衍生物。具體而言,以丁二醇單甲醚、丙二醇單甲醚、乙二醇單甲醚、丁二醇單乙醚、丙二醇單乙醚、乙二醇單乙醚、丁二醇單丙醚、丙二醇單丙醚、乙二醇單丙醚等較佳。
該等溶劑若為主成分,也可添加非醇系溶劑作為輔助溶劑。此輔助溶劑,可例示:丙酮、四氫呋喃、甲苯、己烷、乙酸乙酯、環己酮、甲基戊酮、丙二醇二甲醚、二乙二醇二甲醚、丙二醇單甲醚乙酸酯、丙二醇單乙醚乙酸酯、丙酮酸乙酯、乙酸丁酯、3-甲氧基丙酸甲酯、3-乙氧基丙酸乙酯、乙酸三級丁酯、丙酸三級丁酯、丙二醇單三級丁醚乙酸酯、γ-丁內酯、甲基異丁基酮、環戊基甲醚等。
又,其他反應操作,係對於單體或單體之有機溶液添加水或含水之有 機溶劑,使水解反應開始。此時,觸媒可添加於單體或單體之有機溶液,也可添加於水或含水之有機溶劑。反應溫度為0~100℃,較佳為10~80℃。較佳方法為:水滴加時加熱到10~50℃,之後升溫至20~80℃而使熟成。
使用有機溶劑時,宜為水溶性者,可舉例:甲醇、乙醇、1-丙醇、2-丙醇、1-丁醇、2-丁醇、2-甲基-1-丙醇、丙酮、四氫呋喃、乙腈、丁二醇單甲醚、丙二醇單甲醚、乙二醇單甲醚、丁二醇單乙醚、丙二醇單乙醚、乙二醇單乙醚、丁二醇單丙醚、丙二醇單丙醚、乙二醇單丙醚、丙二醇二甲醚、二乙二醇二甲醚、丙二醇單甲醚乙酸酯、丙二醇單乙醚乙酸酯、丙二醇單丙醚等多元醇縮合物衍生物及該等之混合物等。
有機溶劑之使用量與前述量相同即可。獲得之反應混合物之後處理,以與前述方法同樣方式進行後處理,獲得聚矽氧烷。
本發明使用之聚矽氧烷,可藉由將單體於鹼觸媒存在下進行水解縮合以製造。此時使用的鹼觸媒,可舉例:甲胺、乙胺、丙胺、丁胺、乙二胺、六亞甲基二胺、二甲胺、二乙胺、乙基甲胺、三甲胺、三乙胺、三丙胺、三丁胺、環己胺、二環己胺、單乙醇胺、二乙醇胺、二甲基單乙醇胺、單甲基二乙醇胺、三乙醇胺、二氮雜雙環辛烷、二氮雜雙環環壬烯、二氮雜雙環十一烯、六亞甲基四胺、苯胺、N,N-二甲基苯胺、吡啶、N,N-二甲胺基吡啶、吡咯、哌、吡咯啶、哌啶、甲基吡啶、四甲基氫氧化銨、氫氧化膽鹼、四丙基氫氧化銨、四丁基氫氧化銨、氨、氫氧化鋰、氫氧化鈉、氫氧化鉀、氫氧化鋇、氫氧化鈣等。觸媒之使用量,相對於矽單體1莫耳為10-6莫耳~10莫耳,較佳為10-5莫耳~5莫耳,更佳為10-4莫耳~1莫耳。
由該等單體經水解縮合獲得聚矽氧烷時所需之水量,就鍵結於單體之水解性取代基每1莫耳宜添加0.1~50莫耳。添加超過50莫耳,只會使反應使用之裝置過於龐大,不符合經濟性。
操作方法係於觸媒水溶液添加單體使水解縮合反應開始。此時,可將 有機溶劑添加於觸媒水溶液,也可將單體預先以有機溶劑稀釋,也可兩者均實施。反應溫度為0~100℃,較佳為5~80℃。較佳方法為:單體滴加時保溫在5~80℃,之後於20~80℃使熟成。
能添加於鹼觸媒水溶液或能稀釋單體之有機溶劑,宜使用與作為能添加於酸觸媒水溶液者已例示之有機溶劑同樣者。又,有機溶劑之使用量,為了經濟地進行反應,相對於單體1莫耳宜為0~1,000ml。
之後,視需要進行觸媒之中和反應,將水解縮合反應生成之醇予以減壓去除,獲得反應混合物水溶液。此時,能用於中和之酸性物質之量,相對於觸媒使用之鹼性物質,宜為0.1~2當量。該酸性物質可為在水中呈酸性之任意物質。
然後,宜從反應混合物將在水解縮合反應生成之醇等副產物去除。此時加熱反應混合物之溫度,取決於添加之有機溶劑及反應產生之醇之種類,較佳為0~100℃,更佳為10~90℃,進一步更佳為15~80℃。又,此時之減壓度,視待去除之有機溶劑及醇之種類、排氣裝置、冷凝裝置及加熱溫度而異,較佳為大氣壓力以下,更佳為絕對壓力80kPa以下,進一步更佳為絕對壓力50kPa以下。此時難以正確得知去除的醇量,但將生成的醇的約80質量%以上去除較理想。
其次,利用有機溶劑萃取聚矽氧烷,以去除在水解縮合使用之觸媒。此時使用之有機溶劑,宜為能溶解聚矽氧烷且若與水混合會分離為2層者。例如,可舉例:甲醇、乙醇、1-丙醇、2-丙醇、1-丁醇、2-丁醇、2-甲基-1-丙醇、丙酮、四氫呋喃、甲苯、己烷、乙酸乙酯、環己酮、甲基戊酮、丙二醇單甲醚、乙二醇單甲醚、丙二醇單乙醚、乙二醇單乙醚、丙二醇單丙醚、乙二醇單丙醚、丙二醇二甲醚、二乙二醇二甲醚、丙二醇單甲醚乙酸酯、丙二醇單乙醚乙酸酯、丙酮酸乙酯、乙酸丁酯、3-甲氧基丙酸甲酯、3-乙氧基丙酸乙酯、乙酸第三丁酯、丙酸第三丁酯、丙二醇單第三丁醚乙酸酯、γ-丁內酯、甲基異丁基酮、環戊基甲醚等及該等之混合物。
其次,利用有機溶劑萃取聚矽氧烷,以去除在水解縮合使用之鹼觸媒。此時使用之有機溶劑,宜為能溶解聚矽氧烷且若與水混合會分離為2層者。
再者,也可使用水溶性有機溶劑與水難溶性有機溶劑之混合物。
去除鹼觸媒時使用之有機溶劑之具體例,可使用與作為去除酸觸媒時使用之有機溶劑已具體例示的上述有機溶劑、水溶性有機溶劑與水難溶性有機溶劑之混合物同樣者。
又,水溶性有機溶劑與水難溶性有機溶劑之混合比例可適當選定,相對於難溶性有機溶劑100質量份,水溶性有機溶劑為0.1~1,000質量份,較佳為1~500質量份,更佳為2~100質量份。
接著,以中性水洗淨。該水可使用通常稱為去離子水或超純水者。該水之量,相對於聚矽氧烷溶液1L,為0.01~100L,較佳為0.05~50L,更佳為0.1~5L。該洗淨方法,可將兩者裝於同一容器並予以攪動混合後,靜置,分離水層。洗淨次數有1次以上即可,但即使洗10次以上也無法獲得相應於洗淨次數的效果,所以較佳為1~5次左右。
對於洗淨完畢之聚矽氧烷溶液加入最終的溶劑並以減壓進行溶劑交換,藉此獲得聚矽氧烷溶液。此時之溶劑交換之溫度,取決於待去除之萃取溶劑之種類,較佳為0~100℃,更佳為10~90℃,進一步更佳為15~80℃。又,此時之減壓度,視待去除之萃取溶劑之種類、排氣裝置、冷凝裝置及加熱溫度而異,較佳為大氣壓力以下,更佳為絕對壓力80kPa以下,進一步更佳為絕對壓力50kPa以下。
加入到聚矽氧烷溶液之最終溶劑,較佳者為醇系溶劑,尤佳者為乙二醇、二乙二醇、三乙二醇等單烷醚、丙二醇、二丙二醇等單烷醚。具體而言,丙二醇單甲醚、乙二醇單甲醚、丙二醇單乙醚、乙二醇單乙醚、丙二醇單丙醚、乙二醇單丙醚等較佳。
又,其他反應操作,係對於單體或單體之有機溶液添加水或含水之有機溶劑,使水解反應開始。此時,觸媒可添加於單體或單體之有機溶液,也可添加於水或含水之有機溶劑。反應溫度為0~100℃,較佳為10~80℃。較佳方法為:水滴加時加熱到10~50℃,之後升溫至20~80℃使熟成。
使用有機溶劑時,水溶性者較理想,可舉例:甲醇、乙醇、1-丙醇、2-丙醇、1-丁醇、2-丁醇、2-甲基-1-丙醇、丙酮、四氫呋喃、乙腈、丙二醇單甲醚、乙二醇單甲醚、丙二醇單乙醚、乙二醇單乙醚、丙二醇單丙醚、乙二醇單丙醚、丙二醇二甲醚、二乙二醇二甲醚、丙二醇單甲醚乙酸酯、丙二醇單乙醚乙酸酯、丙二醇單丙醚等多元醇縮合物衍生物及該等之混合物等。
獲得之聚矽氧烷之分子量不僅可藉由單體之選擇,也可藉由控制聚合時之反應條件來調整,但若使用重量平均分子量超過100,000者,有些情況會有異物、塗佈斑之發生,宜使用100,000以下,更佳為200~50,000,進一步更佳為300~30,000者。又,關於上述重量平均分子量之數據,係藉由以RI作為檢測器、以四氫呋喃作為溶離溶劑的凝膠滲透層析(GPC),使用聚苯乙烯作為標準物質,以聚苯乙烯換算來表示分子量者。
為了提高本發明之含聚矽氧烷之光阻下層膜形成用組成物之安定性,宜添加碳數1~30之1價或2價以上之有機酸。此時添加之酸,可例示:甲酸、乙酸、丙酸、丁酸、戊酸、己酸、庚酸、辛酸、壬酸、癸酸、油酸、硬脂酸、亞麻油酸、次亞麻油酸、苯甲酸、鄰苯二甲酸、間苯二甲酸、對苯二甲酸、水楊酸、三氟乙酸、單氯乙酸、二氯乙酸、三氯乙酸、草酸、丙二酸、甲基丙二酸、乙基丙二酸、丙基丙二酸、丁基丙二酸、二甲基丙二酸、二乙基丙二酸、琥珀酸、甲基琥珀酸、戊二酸、己二酸、衣康酸、馬來酸、富馬酸、檸康酸、檸檬酸等。尤以草酸、馬來酸、甲酸、乙酸、丙酸、檸檬酸等較佳。又,為了保持安定性,也可混用2種以上的酸。添加量相對於組成物所含之聚矽氧烷100質量份為0.001~25質量份,較佳為0.01~15質量 份,更佳為0.1~5質量份。
或者,可摻合上述有機酸,使得換算為組成物之pH,較佳為0≦pH≦7,更佳為0.3≦pH≦6.5,進一步更佳為0.5≦pH≦6。
本發明中,也可對於前述組成物添加水。若添加水,聚矽氧烷會水合故微影性能提高。組成物之溶劑成分中,水之含有率為超過0質量%且低於50質量%,尤佳為0.3~30質量%,進一步更佳為0.5~20質量%。各成分若添加量過多,塗佈膜的均勻性變差,最差的情形會產生眼孔(eye hole)。另一方面,添加量若少,微影性能低落故不理想。
含水之全部溶劑之使用量,相對於前述基礎聚合物100質量份為100~100,000質量份,尤佳為200~50,000質量份。
本發明中,也可在前述組成物中加入光酸產生劑。本發明使用之光酸產生劑,具體而言,可添加日本特開2009-126940第(0118)段~第(0119)段記載之材料。
再者,若添加具有環狀醚作為取代基之1元或2元以上之醇作為安定劑,可提高含聚矽氧烷之光阻下層膜形成用組成物之安定性。如此者,具體而言,可添加日本特開2009-126940第(0121)段~第(0122)段記載之材料。
又,本發明,視需要可摻合界面活性劑。如此者,具體而言,可添加日本特開2009-126940第(0124)段記載之材料。
本發明之圖案形成方法使用之含聚矽氧烷之光阻下層膜,可由含聚矽氧烷之膜形成用組成物,與光阻膜同樣地以旋塗法等製作於被加工體上。旋塗後使溶劑蒸發,為了防止與上層光阻膜混合,為了促進交聯反應,宜進行烘烤。烘烤溫度宜在50~500℃之範圍內,烘烤時間宜在10~300秒之範圍內。尤理想的溫度範圍,亦取決於製造的裝置的結構,但為了減小對於裝置的熱損傷,以400℃以下較佳。
藉由使用如此之含聚矽氧烷之光阻下層膜,可使與形成於含聚矽氧烷之光阻下層膜上之光阻圖案的密合性為良好,可使與係含聚矽氧烷之光阻下層膜之上層的光阻膜、及與係下層之例如有機膜之間會具有良好的乾蝕刻選擇性。
在此,被加工體可使用:半導體裝置基板、或在半導體基板形成金屬膜、金屬碳化膜、金屬氧化膜、金屬氮化膜、及金屬氧化氮化膜中之任一膜作為被加工層(被加工部分)者等。
半導體基板一般使用矽基板,但不特別限定,也可使用Si、非晶矽(α-Si)、p-Si、SiO2、SiN、SiON、W、TiN、Al等與被加工層為不同材質者。
構成被加工體之金屬,可使用矽、鈦、鎢、鉿、鋯、鉻、鍺、銅、鋁、銦、鎵、砷、鈀、鐵、鉭、銥、鉬或該等之合金,含如此之金屬之被加工層,可使用例如:Si、SiO2、SiN、SiON、SiOC、p-Si、α-Si、TiN、WSi、BPSG、SOG、Cr、CrO、CrON、MoSi、W、W-Si、Al、Cu、Al-Si等及各種低介電膜及其蝕刻阻擋膜,通常可形成50~10,000nm的厚度,尤其100~5,000nm的厚度。
形成光阻圖案之方法,可使用定向自組裝法(DSA法)或奈米壓印微影法。
前述光阻圖案之形成,可為:使用化學增幅型光阻組成物形成光阻膜,於加熱處理後以高能射線將該光阻膜曝光,使用鹼顯影液使該光阻膜之曝光部溶解而形成正型圖案;也可為:使用化學增幅型光阻組成物形成光阻膜,於加熱處理後以高能射線將該光阻膜曝光,使用有機溶劑之顯影液使該光阻膜之未曝光部溶解而形成負型圖案。
於本發明之圖案形成方法中,上層光阻膜只要是化學增幅型,且可藉由使用有機溶劑顯影液的顯影形成負型圖案、或可形成正型圖案者即可, 不特別限定。
前述使用高能射線的微影法,可採用:使用300nm以下之光的微影法、使用EUV光的微影法或電子束直接描繪法。
又,也可將本發明之曝光步驟定為以ArF準分子雷射進行之曝光處理;此時,作為上層之光阻膜,通常之ArF準分子雷射用光阻組成物皆可使用。
如此之ArF準分子雷射用光阻組成物,有許多已公知之候選者,若將已公知之樹脂大致區分,有:聚(甲基)丙烯酸系、COMA(Cyclo Olefin Maleic Anhydride)系、COMA-(甲基)丙烯酸混成系、ROMP(Ring Opening Methathesis Polymerization)系、聚降莰烯系等,其中,使用聚(甲基)丙烯酸系樹脂之光阻組成物,由於藉由在側鏈導入脂環骨架而確保蝕刻耐性,故解像性能比其他樹脂系更優異。
藉由使用本發明之圖案形成方法,可於基板形成高精度的微細圖案。
【實施例】
以下,揭示實施例及比較例,具體說明本發明,但本發明不限於該等記載。又,下述例中,%表示質量%,分子量測定係以GPC進行。
熱交聯促進劑之合成例
四苯基硼酸三苯基鋶(促進劑1)
將四苯基硼酸鈉10.0g及氯化三苯基鋶9.2g加入於二氯甲烷200g及去離子水250g之混合物,於室溫攪拌24小時,進行離子交換反應。反應終了後,分離去除水層,再加入去離子水250g並進行攪拌、靜置、分液。將獲得之有機層以旋轉蒸發器進行濃縮,將獲得之結晶以二丙醚藉由再結晶進行精製,獲得14.6g之白色結晶(產率86%)。
以下四苯基硼酸化合物,係使用市售者。
<聚矽氧烷之合成>
[合成例1]
對於乙醇400g、甲烷磺酸0.2g及去離子水120g之混合物添加苯基三甲氧基矽烷9.9g及四乙氧基矽烷197.9g之混合物,保持於40℃ 12小時,使水解縮合。反應終了後,加入丙二醇乙醚(PGEE)800g,以減壓餾去副生醇及過餘的水分,獲得聚矽氧烷1之PGEE溶液750g(化合物濃度11.5%)。測定此者之聚苯乙烯換算分子量,為Mw=2,550。
[合成例2]
對於乙醇400g、甲烷磺酸0.2g及去離子水120g之混合物添加苯基三甲氧基矽烷11.9g及四乙氧基矽烷195.8g之混合物,保持於40℃ 12小時,使水解縮合。反應終了後,加入丙二醇乙醚(PGEE)800g,以減壓餾去副生醇及過 餘的水分,獲得聚矽氧烷2之PGEE溶液750g(化合物濃度11.7%)。測定此者之聚苯乙烯換算分子量,為Mw=2,500。
[合成例3]
對於乙醇400g、甲烷磺酸0.2g及去離子水120g之混合物添加苯基三甲氧基矽烷13.9g及四乙氧基矽烷193.7g之混合物,保持於40℃ 12小時,使水解縮合。反應終了後,加入丙二醇乙醚(PGEE)800g,以減壓餾去副生醇及過餘的水分,獲得聚矽氧烷3之PGEE溶液750g(化合物濃度11.5%)。測定此者之聚苯乙烯換算分子量,為Mw=2,500。
[實施例、比較例]
將上述合成例獲得之聚矽氧烷與溶劑、交聯促進劑以表1所示比例混合,以0.1μm之氟樹脂製之濾器過濾,藉此分別製備含聚矽氧烷之光阻下層膜形成用組成物溶液,分別定為Sol.1~9。
TPSMA:馬來酸單(三苯基鋶)
旋塗Sol.1~9,於200℃加熱成膜1分鐘,形成膜厚40nm之含聚矽氧烷之膜(定為Film1~9),以J.A.WOOLLAM(股)公司之入射角度可變之分光橢偏儀(VUV-VASE)求得於波長193nm之Film1~9之光學常數(折射率n、消光係數k),將結果示於表2。
形成折射率/消光率為1.62/0.18之含聚矽氧烷之膜之情況,係能以合成例1之聚矽氧烷與新穎交聯促進劑之組合(Film1~5)、合成例2之聚矽氧烷與習知交聯促進劑之組合(Film6)及合成例3之聚矽氧烷(無交聯促進劑)(Film9)達成。
<蝕刻試驗>
將於上述試驗製成之塗佈膜以下列條件(1)或下列條件(2)進行乾蝕刻,求得蝕刻速率。
(1)以CHF3/CF4系氣體進行之蝕刻條件
裝置:東京威力科創(股)公司製之乾蝕刻裝置Telius SP
蝕刻條件(1):
(2)以O2/N2系氣體進行之蝕刻條件
裝置:東京威力科創(股)公司製之乾蝕刻裝置Telius SP
蝕刻條件(2):
若將比較例2、比較例3及比較例4進行比較,可知k值高的聚合物CF蝕刻速率較慢。其理由,可知係:為了吸收193nm之UV光而導入之苯環之量以 比較例3、比較例4使用之聚矽氧烷較多所致。
其次,為了使用k值相異之2種類之聚矽氧烷來獲得具相同k值之含矽膜,吾人調整交聯促進劑之添加量,得到Film1~6。其結果,相較於習知之交聯促進劑與k值高的聚矽氧烷之組合,本發明之交聯促進劑與k值低的聚矽氧烷之組合,CF蝕刻速率較快。可知其理由為:直接鍵結於聚矽氧烷之有機基對於CF蝕刻速率影響大;相較於此,與聚矽氧烷未直接鍵結而以添加物之形式加入之有機基不太會對CF蝕刻速率造成影響。
<圖案化試驗>
在矽晶圓上以膜厚200nm形成信越化學工業(股)公司製之旋塗碳膜ODL-50(碳含量80質量%)。在其上塗佈含聚矽氧烷之光阻下層膜形成用組成物溶液Sol.1~5及9,於240℃加熱60秒,製成膜厚35nm之含聚矽氧烷之膜Film1~5及9。
接著,在含聚矽氧烷之膜上塗佈表4記載之正顯影用ArF光阻溶液(PR-1),於110℃烘烤60秒,形成膜厚100nm之光阻層。再於光阻膜上塗佈表5記載之浸潤保護膜(TC-1),於90℃烘烤60秒,形成膜厚50nm之保護膜。
其次,將該等以ArF浸潤曝光裝置(Nikon(股)公司製;NSR-S610C,NA1.30、σ0.98/0.65、35度雙極偏光照明、6%半階調位相偏移遮罩)曝光,於100℃烘烤60秒(PEB),以2.38質量%氫氧化四甲基銨(TMAH)水溶液顯影30秒,獲得43nm1:1之正型之線與間距圖案。
藉由此圖案化,獲得43nm1:1之負型之線與間距圖案。以日立先進科技(股)公司製之電子顯微鏡(CG4000)測定該尺寸,以日立製作所(股)公司製之電子顯微鏡(S-9380)測定圖案崩塌、剖面形狀(參照表6)。
[表4]
ArF光阻聚合物1:
分子量(Mw)=7,800
分散度(Mw/Mn)=1.78
酸產生劑:PAG1
鹼:淬滅劑
保護膜聚合物
分子量(Mw)=8,800
分散度(Mw/Mn)=1.69
<蝕刻試驗>
將上述於圖案化試驗製成之光阻圖案作為遮罩以下列條件(1)進行乾蝕刻加工,然後以下列條件(2)進行乾蝕刻而將圖案轉印至旋塗碳膜。以日立製作所(股)公司製之電子顯微鏡(S-9380)觀察獲得之圖案之剖面形狀,以日立先進科技(股)公司製之電子顯微鏡(CG4000)測定圖案粗糙度,分別比較形狀並彙整於表。
(1)以CHF3/CF4系氣體進行之蝕刻條件
裝置:東京威力科創(股)公司製之乾蝕刻裝置Telius SP
蝕刻條件(1):
處理時間 40sec
(2)以O2/N2系氣體進行之蝕刻條件
裝置:東京威力科創(股)公司製之乾蝕刻裝置Telius SP
蝕刻條件(2):
曝光後之光阻圖案形狀,只要光學常數相同,幾乎展現了類似之性能。另一方面,若比較由聚合物中含有多量用以吸光之有機基(苯環)之聚合物構成之含聚矽氧烷之膜、與有機基少且係以添加物來調整光學常數之本發明之含聚矽氧烷之膜,採用使用本發明之添加物而形成之含聚矽氧烷之膜,乾蝕刻後之形狀較為良好。
又,本發明不限於上述實施形態。上述實施形態係為例示,與本發明之申請專利範圍記載之技術思想偶有實質上相同構成且發揮同樣作用效果者,均包括在本發明之技術的範圍。

Claims (11)

  1. 一種含聚矽氧烷之光阻下層膜形成用組成物,含有下列通式(A-1)表示之熱交聯促進劑及聚矽氧烷; (R11、R12、R13、R14各表示氫原子、鹵素原子、碳數1~20之直鏈狀、分支狀或環狀之烷基、烯基、側氧烷基或側氧烯基、碳數6~20之經取代或未經取代之芳基、或碳數7~20之芳烷基或芳基側氧烷基,該等基之氫原子之一部分或全部可被取代為烷氧基、胺基、烷基胺基、鹵素原子、三甲基矽基;a、b、c、d為0~5之整數;又,a、b、c、d為2以上時,R11、R12、R13、R14也可形成環狀結構;L為鋰、鈉、鉀、銣、銫或下列通式(A-2)、(A-3)、(A-4)或(A-5)表示之相對離子); (式中,R21、R22、R23、R24各表示碳數1~20之直鏈狀、分支狀或環狀之烷基、烯基、側氧烷基或側氧烯基、碳數6~20之經取代或未經取代之芳基、或碳數7~12之芳烷基或芳基側氧烷基,該等基之氫原子之一部分或全部也可被取代為鹵素原子、烷基、烷氧基、三甲基矽基;又,R21與R22、R21與R22與R23也可形成環,當形成環時,R21與R22及R21與R22與R23表示碳數3~10之伸烷基;R31、R32、R33係同R21、R22、R23、R24,或亦可為氫原子;R32與R33也可形成環,當形成環時,R32、R33各表示碳數1~6之伸烷基)。
  2. 如申請專利範圍第1項之含聚矽氧烷之光阻下層膜形成用組成物,其中,該聚矽氧烷含有下列通式(B-1)表示之化合物、其水解物、其縮合物、其水解縮合物中的1種以上;R1B B1R2B B2R3B B3Si(OR0B)(4-B1-B2-B3) (B-1)(式中,R0B為碳數1~6之烴基,R1B、R2B、R3B為氫原子或1價有機基;又,B1、B2、B3為0或1,0≦B1+B2+B3≦3)。
  3. 一種圖案形成方法,其特徵為:於被加工體上使用塗佈型有機下層膜材料形成有機下層膜,於該有機下層膜上使用如申請專利範圍第1或2項之含聚矽氧烷之光阻下層膜形成用組成物形成含聚矽氧烷之光阻下層膜,於該含聚矽氧烷之光阻下層膜上形成光阻圖案,將該已形成圖案之光阻膜作為遮罩利用乾蝕刻將圖案轉印至該光阻下層膜,將該已轉印有圖案之光阻下層膜作為遮罩利用乾蝕刻將圖案轉印至該有機下層膜,然後將該已轉印有圖案之有機下層膜作為遮罩利用乾蝕刻將圖案轉印至該被加工體。
  4. 一種圖案形成方法,其特徵為:於被加工體上以CVD法形成以碳為主成分的有機硬遮罩,於該有機硬遮罩上使用如申請專利範圍第1或2項之含聚矽氧烷之光阻下層膜形成用組成物形成含聚矽氧烷之光阻下層膜,於該含聚矽氧烷之光阻下層膜上形成光阻圖案,將該已形成圖案之光阻膜作為遮罩利用乾蝕刻將圖案轉印至該光阻下層膜,將該已轉印有圖案之光阻下層膜作為遮罩利用乾蝕刻將圖案轉印至該有機硬遮罩,然後將該已轉印有圖案之有機硬遮罩作為遮罩利用乾蝕刻將圖案轉印至該被加工體。
  5. 如申請專利範圍第3或4項之圖案形成方法,其中,該被加工體為半導體裝置基板、金屬膜、金屬碳化膜、金屬氧化膜、金屬氮化膜、金屬氧化碳化膜或金屬氧化氮化膜。
  6. 如申請專利範圍第3或4項之圖案形成方法,其中,構成該被加工體之金屬為矽、鈦、鎢、鉿、鋯、鉻、鍺、銅、鋁、銦、鎵、砷、鈀、鐵、鉭、銥、鉬或該等之合金。
  7. 如申請專利範圍第3或4項之圖案形成方法,其中,以定向自組裝法(DSA法)或奈米壓印微影法形成光阻圖案。
  8. 如申請專利範圍第3或4項之圖案形成方法,其中,該光阻圖案之形成係:使用化學增幅型光阻組成物形成光阻膜,於加熱處理後以高能射線將該光阻膜曝光,使用鹼顯影液使該光阻膜之曝光部溶解而形成正型圖案。
  9. 如申請專利範圍第3或4項之圖案形成方法,其中,該光阻圖案之形成係:使用化學增幅型光阻組成物形成光阻膜,於加熱處理後以高能射線將該光阻膜曝光,使用有機溶劑之顯影液使該光阻膜之未曝光部溶解而形成負型圖案。
  10. 如申請專利範圍第8項之圖案形成方法,其中,該使用高能射線的 微影法為使用300nm以下之光的微影法、使用EUV光的微影法或電子束直接描繪法。
  11. 如申請專利範圍第9項之圖案形成方法,其中,該使用高能射線的微影法為使用300nm以下之光的微影法、使用EUV光的微影法或電子束直接描繪法。
TW103102143A 2013-01-24 2014-01-21 含有此熱交聯促進劑之含聚矽氧烷之光阻下層膜形成用組成物、及使用此組成物之圖案形成方法 TWI515196B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2013010814A JP5830041B2 (ja) 2013-01-24 2013-01-24 ポリシロキサン含有レジスト下層膜形成用組成物、及びこれを用いたパターン形成方法

Publications (2)

Publication Number Publication Date
TW201439101A TW201439101A (zh) 2014-10-16
TWI515196B true TWI515196B (zh) 2016-01-01

Family

ID=51207946

Family Applications (1)

Application Number Title Priority Date Filing Date
TW103102143A TWI515196B (zh) 2013-01-24 2014-01-21 含有此熱交聯促進劑之含聚矽氧烷之光阻下層膜形成用組成物、及使用此組成物之圖案形成方法

Country Status (4)

Country Link
US (2) US20140205951A1 (zh)
JP (1) JP5830041B2 (zh)
KR (1) KR101825254B1 (zh)
TW (1) TWI515196B (zh)

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5642731B2 (ja) * 2012-04-27 2014-12-17 信越化学工業株式会社 パターン形成方法
JP6323295B2 (ja) * 2014-10-20 2018-05-16 信越化学工業株式会社 パターン形成方法及び化学増幅ネガ型レジスト組成物
CN107003613B (zh) * 2014-12-08 2021-06-15 日产化学工业株式会社 包含具有含卤素的羧酸酰胺基的水解性硅烷的光刻用抗蚀剂下层膜形成用组合物
JP6297992B2 (ja) 2015-02-05 2018-03-20 信越化学工業株式会社 ケイ素含有重合体、ケイ素含有化合物、レジスト下層膜形成用組成物、及びパターン形成方法
JP6603115B2 (ja) 2015-11-27 2019-11-06 信越化学工業株式会社 ケイ素含有縮合物、ケイ素含有レジスト下層膜形成用組成物、及びパターン形成方法
WO2018097661A1 (ko) 2016-11-25 2018-05-31 주식회사 엘지화학 유기 발광 소자
KR102374206B1 (ko) 2017-12-05 2022-03-14 삼성전자주식회사 반도체 장치 제조 방법
US20210189070A1 (en) * 2018-08-17 2021-06-24 Shin-Etsu Chemical Co., Ltd. Composition including organopolysiloxane compound, production method therefor, coating material, and coated article
JP7282667B2 (ja) * 2019-01-22 2023-05-29 信越化学工業株式会社 ケイ素含有レジスト下層膜形成用組成物及びパターン形成方法
JP7307005B2 (ja) 2019-04-26 2023-07-11 信越化学工業株式会社 硬化触媒の拡散距離を測定する方法
JP7373470B2 (ja) * 2019-09-19 2023-11-02 信越化学工業株式会社 ケイ素含有レジスト下層膜形成用組成物及びパターン形成方法
JP7368342B2 (ja) * 2020-12-07 2023-10-24 信越化学工業株式会社 ケイ素含有レジスト下層膜形成用組成物及びパターン形成方法

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05230284A (ja) * 1992-02-19 1993-09-07 Hitachi Ltd 樹脂組成物その製造法並びに樹脂封止型半導体装置
ES2218580T3 (es) * 1995-01-31 2004-11-16 Idemitsu Kosan Company Limited Procedimiento para producir policarbonato.
US6461419B1 (en) * 1999-11-01 2002-10-08 3M Innovative Properties Company Curable inkjet printable ink compositions
JP3944737B2 (ja) * 2003-02-06 2007-07-18 信越化学工業株式会社 エポキシ樹脂組成物の製造方法
ATE534686T1 (de) * 2003-10-10 2011-12-15 Dow Corning Carbinolfunktionelle silikonharze enthaltende urethanzusammensetzungen
US8349393B2 (en) 2004-07-29 2013-01-08 Enthone Inc. Silver plating in electronics manufacture
JP4483518B2 (ja) * 2004-10-18 2010-06-16 Jsr株式会社 エッチングマスク組成物
JP2006188593A (ja) * 2005-01-05 2006-07-20 Dow Corning Toray Co Ltd シリコーンレジン組成物、硬化性樹脂組成物、および硬化樹脂
US7189494B2 (en) * 2005-05-26 2007-03-13 Eastman Kodak Company On-press developable imageable element comprising a tetraarylborate salt
US7332253B1 (en) * 2006-07-27 2008-02-19 Eastman Kodak Company Negative-working radiation-sensitive compositions and imageable materials
US11392037B2 (en) * 2008-02-18 2022-07-19 Nissan Chemical Industries, Ltd. Resist underlayer film forming composition containing silicone having cyclic amino group
JP2011064770A (ja) * 2009-09-15 2011-03-31 Sumitomo Chemical Co Ltd 感光性樹脂組成物
WO2012008538A1 (ja) * 2010-07-14 2012-01-19 Jsr株式会社 ポリシロキサン組成物及びパターン形成方法
JP2012057000A (ja) * 2010-09-07 2012-03-22 Shin-Etsu Chemical Co Ltd シリコーン樹脂組成物、半導体装置の封止材、及び半導体装置
JP5834519B2 (ja) * 2011-06-15 2015-12-24 三菱化学株式会社 半導体発光装置用の樹脂成形体用材料およびその成形体

Also Published As

Publication number Publication date
KR101825254B1 (ko) 2018-03-14
US20180081272A1 (en) 2018-03-22
JP5830041B2 (ja) 2015-12-09
JP2014141585A (ja) 2014-08-07
KR20140095431A (ko) 2014-08-01
US20140205951A1 (en) 2014-07-24
TW201439101A (zh) 2014-10-16

Similar Documents

Publication Publication Date Title
TWI515196B (zh) 含有此熱交聯促進劑之含聚矽氧烷之光阻下層膜形成用組成物、及使用此組成物之圖案形成方法
TWI461852B (zh) 光阻下層膜形成用組成物及圖案形成方法
TWI523894B (zh) 光阻下層膜形成用組成物及圖案形成方法
TWI465455B (zh) 含矽之表面改質劑、含有此表面改質劑之光阻下層膜形成用組成物、及圖案形成方法
TWI453256B (zh) 含矽之光阻下層膜形成用組成物及圖案形成方法
TWI465456B (zh) 矽化合物、含矽化合物、包含該含矽化合物之光阻下層膜形成用組成物及圖案形成方法
TWI468869B (zh) 含矽之表面改質劑、含有此表面改質劑之光阻下層膜形成用組成物、及圖案形成方法
TWI610986B (zh) 含矽縮合物、含矽光阻下層膜形成用組成物及圖案形成方法
TWI506377B (zh) 含矽之光阻下層膜形成用組成物及圖案形成方法
TWI576668B (zh) 含鈦之光阻下層膜形成用組成物及圖案形成方法
TWI509027B (zh) 含金屬氧化物之膜形成用組成物及圖案形成方法
TWI504684B (zh) 含鈦之光阻下層膜形成用組成物及圖案形成方法
TW201300958A (zh) 圖案形成方法及使用於該方法之含矽膜形成用組成物
TWI504685B (zh) 含鈦之光阻下層膜形成用組成物及圖案形成方法
TW201331718A (zh) 含矽之光阻下層膜形成用組成物及圖案形成方法
TWI577699B (zh) 含矽聚合物、含矽化合物、光阻下層膜形成用組成物及圖案形成方法
TWI524146B (zh) 含矽之光阻下層膜形成用組成物及圖案形成方法
TW201605770A (zh) 4級銨鹽化合物、光阻下層膜形成用組成物及圖案形成方法
TWI535708B (zh) 紫外線吸收劑、光阻下層膜形成用組成物及圖案形成方法