TWI491006B - 半導體裝置及其製造方法 - Google Patents

半導體裝置及其製造方法 Download PDF

Info

Publication number
TWI491006B
TWI491006B TW102128418A TW102128418A TWI491006B TW I491006 B TWI491006 B TW I491006B TW 102128418 A TW102128418 A TW 102128418A TW 102128418 A TW102128418 A TW 102128418A TW I491006 B TWI491006 B TW I491006B
Authority
TW
Taiwan
Prior art keywords
polymer layer
layer
metal
substrate
semiconductor device
Prior art date
Application number
TW102128418A
Other languages
English (en)
Other versions
TW201409647A (zh
Inventor
Hsien Wei Chen
Hung Jui Kuo
Original Assignee
Taiwan Semiconductor Mfg Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Mfg Co Ltd filed Critical Taiwan Semiconductor Mfg Co Ltd
Publication of TW201409647A publication Critical patent/TW201409647A/zh
Application granted granted Critical
Publication of TWI491006B publication Critical patent/TWI491006B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3157Partial encapsulation or coating
    • H01L23/3192Multilayer coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3107Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed
    • H01L23/3114Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed the device being a chip scale package, e.g. CSP
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/023Redistribution layers [RDL] for bonding areas
    • H01L2224/0235Shape of the redistribution layers
    • H01L2224/02351Shape of the redistribution layers comprising interlocking features
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/11Manufacturing methods
    • H01L2224/113Manufacturing methods by local deposition of the material of the bump connector
    • H01L2224/1133Manufacturing methods by local deposition of the material of the bump connector in solid form
    • H01L2224/11334Manufacturing methods by local deposition of the material of the bump connector in solid form using preformed bumps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/11Manufacturing methods
    • H01L2224/114Manufacturing methods by blanket deposition of the material of the bump connector
    • H01L2224/1146Plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/11Manufacturing methods
    • H01L2224/114Manufacturing methods by blanket deposition of the material of the bump connector
    • H01L2224/1146Plating
    • H01L2224/11462Electroplating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/11Manufacturing methods
    • H01L2224/1147Manufacturing methods using a lift-off mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13101Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of less than 400°C
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13101Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of less than 400°C
    • H01L2224/13111Tin [Sn] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/13144Gold [Au] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/13147Copper [Cu] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/13155Nickel [Ni] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13163Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/13166Titanium [Ti] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13163Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/13181Tantalum [Ta] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/525Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body with adaptable interconnections
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53214Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being aluminium
    • H01L23/53223Additional layers associated with aluminium layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53257Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a refractory metal
    • H01L23/53266Additional layers associated with refractory-metal layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/11Manufacturing methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L24/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Description

半導體裝置及其製造方法
本發明係有關於一種半導體裝置及其製造方法。
隨著半導體裝置之最小特徵尺寸的縮小與相鄰的金屬線之間距縮小,可靠度的問題變得更嚴重,例如不合格的高加速溫濕試驗(Highly Accelerated Temperature/Humidity Stress Test,HAST)、電子遷移與應力遷移的增加等問題。裝置尺寸的縮小及金屬的間距與寬度之微縮(scale down)導致電阻與電流密度的增高。較高的電流密度會增加金屬枝晶(dendrites)的生長率而使相鄰的金屬線之間距縮小,最終產生短路。
加大金屬之間距是防止這種短路所產生的內連線失靈的一種方法。而因為裝置會不斷的縮小,加大金屬之間距係不實際的。另一種選擇,可進行不同的表面處理以減少金屬橋接短路,例如,經發現將金屬層暴露於氨(NH3)可減少其界面(interface)上的金屬擴散(diffusion)。加入摻質至金屬層中亦可侷限金屬擴散。遺憾地,這些降低金屬擴散率的技術會大幅地提高金屬層的電阻。
因此,需要一種包含金屬內連線的新結構或製造半導體裝置的改良製程,以減少金屬橋接。
本發明提供一種半導體裝置,包括:一基板;多個層間介電層,於基板上方;多個金屬層,於基板上方;一第一聚合物層,於層間介電層與金屬層上方;其中第一聚合物層具有一第一表面、一第二表面於第一表面的同側、及一第三表面於第一表面之相反側,且第一表面與第二表面之一深度差等於或大於約1 um;多個金屬線,於該第一表面與該第一聚合物層上;以及一第二聚合物層,於金屬線與第一聚合物層上。
本發明又提供一種半導體裝置,包括:一基板;多個層間介電層,於基板上方;多個金屬層,於基板上方;一第一聚合物層,於層間介電層與金屬層上方;多個金屬線,於第一聚合物層之一第一表面上;一第二聚合物層,該些金屬線上;以及一抗電子遷移層,於金屬線之側壁與第二聚合物層之間。
本發明亦提供一種半導體裝置的製造方法,包括:提供一基板;形成多個層間介電層於基板上方;形成多個金屬層於基板上方;形成一第一聚合物層於層間介電層與金屬層上方;形成多個金屬線於第一聚合物層上;形成一凹口於兩個相鄰的金屬線之間的第一聚合物層中,凹口之深度等於或大於約1 um;以及形成一第二聚合物層於該些金屬線上。
100‧‧‧半導體晶粒
158‧‧‧基板
160‧‧‧電子電路
162、168‧‧‧層間介電層
164、170‧‧‧插塞
166、172‧‧‧金屬層
174‧‧‧鈍化保護層
176‧‧‧開口
178‧‧‧第一聚合物層
180‧‧‧重分佈金屬線
182‧‧‧第二聚合物層
184、188‧‧‧導電墊
186‧‧‧導電材料
120、220、320、420、520‧‧‧凹口
310、410、510‧‧‧抗電子遷移層
第1~1-1圖係根據本發明不同實施例繪示出半導體裝置的剖面示意圖。
第2~2-4圖係根據本發明不同實施例繪示出半導體裝置的 剖面示意圖。
第3~3-5圖係根據本發明不同實施例繪示出半導體裝置的剖面示意圖。
第4~4-5圖係根據本發明不同實施例繪示出半導體裝置的剖面示意圖。
第5~5-5圖係根據本發明不同實施例繪示出半導體裝置的剖面示意圖。
第6圖係根據本發明不同實施例繪示出在半導體晶粒中形成凹口與抗電子遷移層之方法的流程圖。
以下說明本發明實施例之製作與使用。然而,可輕易了解本發明實施例提供許多合適的發明概念而可實施於廣泛的各種特定背景。所揭示的特定實施例僅僅用於說明以特定方法製作及使用本發明,並非用以侷限本發明的範圍。
要瞭解的是本說明書以下的揭露內容提供許多不同的實施例或範例,以實施本發明的不同特徵。而本說明書以下的揭露內容是敘述各個構件及其排列方式的特定範例,以求簡化發明的說明。當然,這些特定的範例並非用以限定本發明。另外,本發明的說明中不同範例可能使用重複的參考符號及/或用字。這些重複符號或用字係為了簡化與清晰的目的,並非用以限定各個實施例及/或所述外觀結構之間的關係。再者,若是本說明書以下的揭露內容敘述了將一第一特徵形成於一第二特徵之上或上方,即表示其包含了所形成的上述第一特徵與上述第二特徵是直接接觸的實施例,亦包含了尚可將附加 的特徵形成於上述第一特徵與上述第二特徵之間,而使上述第一特徵與上述第二特徵可能未直接接觸的實施例。
在空間上的相關用語,例如”下”、”上”、”水平”、”垂直”、”上方”、”下方”、”向上”、”向下”、”頂部”、”底部”等等及其衍生詞(例如,”水平地”、”向下地”、”向上地”等等)係用以容易表達出本說明書中的特徵與額外特徵的關係。這些空間上的相關用語涵蓋了具有特些特徵的裝置的不同方位。
金屬互連線(interconnection lines)中的應力遷移(stress migration)或電子遷移(electromigration)的特點為被高電流密度誘導的離子移動(movement)。隨著半導體裝置的特徵尺寸縮小,電流密度的增加因而增加了電子遷移所誘導的金屬化的失靈。半導體裝置的特點為高電流密度與在兩個相鄰的重分佈(redistribution)線之間生長金屬枝晶。本發明不同實施例可抑制金屬橋接與增加對電子遷移的電阻。具體地說,在聚合物層中形成凹口或在重分佈線上形成抗電子遷移(anti-electromigration)層。
第1圖係根據本發明一實施例繪示出半導體晶粒結構之剖面示意圖。請參照第1圖,半導體晶粒100包括基板158。一些實施例中,半導體晶粒為矩形或正方形。基板158可為一矽基板。一些實施例中,基板158可為矽覆絕緣體(silicon-on-insulator)、碳化矽、III-V族材料、或藍寶石(sapphire)。基板158可更包括各種電子電路160。形成於基板158上的電子電路160可為任何適合於特定應用的電路。在特定的 實施例中,電子電路160可包括由高介電常數材料製得的各種n型金屬氧化物半導體(NMOS)及/或p型金屬氧化物半導體(PMOS)裝置,高介電常數材料包括矽酸鉿、矽酸鋯、二氧化鉿及二氧化鋯。電子電路160亦可包括其他電晶體,例如鰭式場效電晶體(fin field-effect transistor,FinFET)及其他平面(planar)電晶體、電容器、電阻器、二極體、光二極體(photo-diode)、熔絲(fuse)等。電子電路160可互連以呈現一或多種功能。功能包括記憶(memory)結構、處理(processing)結構、感測器、擴大器(amplifier)、電源分配器、或輸入/輸出電路等。
在電子電路160上形成層間介電層162。層間介電層162可由低介電常數材料所組成,例如摻氟氧化矽,其介電常數為約2.0~4.5。在一些實施例中,低介電常數材料為摻碳氧化矽,其介電常數為約3.0。另一些實施例中,低介電常數材料為多孔摻碳氧化矽,其介電常數為約2.5。又一些實施例中,低介電常數材料為市售SILKTM商標的介電材料或多孔介電材料,其介電常數小於約2.6。在一些實施例中,低介電常數材料亦可為多孔氧化矽,其介電常數小於約2.0。
請參照第1圖,在層間介電層162中形成插塞164,插塞164連接至電子電路160。插塞係由金屬組成,其可為鈦、氮化鈦、鎢、鋁、鉭、銅、或上述之組合。插塞164連接至底金屬層166,底金屬層166係由銅、鋁、鉭、鈦、氮化鈦、鎢、或上述之組合所組成,且底金屬層166係置於層間介電層162中。在金屬層166上設置另一層間介電層168,層間介電層168 係由相似於層間介電層162的低介電常數材料所組成。在層間介電層168中設置頂插塞170,插塞170電性連接至底金屬層166。頂插塞170係由金屬所組成,其可為鎢、銅、氮化鈦、鉭、或上述之合金所組成。頂插塞170連接至頂金屬層172,頂金屬層172係由鋁、銅、鈦、氮化鈦、鎢、或上述之合金所組成。而雖第1圖顯示底金屬層166與頂金屬層172,此技藝具有通常知識者將理解可在底金屬層166與頂金屬層172之間形成一或多個層間介電層(未顯示)與相關的插塞及金屬層(未顯示)。
在頂金屬層172上沉積鈍化保護(passivation)層174。在一些實施例中,鈍化保護層174包括無機材料,例如,未摻雜的矽玻璃、氮化矽、氧化矽、氮氧化矽、摻硼氧化矽、摻磷氧化矽等。在鈍化保護層174中形成開口176以提供外部電性連接。開口176係由微影(photolithography)與蝕刻所形成。在鈍化保護層174上沉積第一聚合物層178。第一聚合物層178係由聚合物材料所製作,例如聚亞醯胺(polyimide)、聚苯噁唑(polybenzoxazole,PBO)、矽氧樹脂(silicone)、苯並環丁烯(benzocyclobutene,BCB)、環氧聚合物(epoxy)、塑形(molding)化合物(例如環氧樹脂)、塑模底膠(molded underfill)(例如樹脂)等。可由習知的任何合適方法製作第一聚合物層178,例如,旋轉塗佈(spin coating)及視需要地進行硬化(curing)步驟。藉由微影(photolithography)與蝕刻製程在第一聚合物層178中形成對應到開口176的開口。在第一聚合物層178上形成重分佈金屬線180,且重分佈金屬線180填入開口176中。重分佈金屬線180可由金屬製成,例如,鈦、氮化鈦、鋁、鉭、銅、或上述之組 合。重分佈金屬線180可由習知的任何合適方法製作,例如濺鍍(sputter)、化學氣相沉積(chemical vapor deposition,CVD)、或電鍍(electroplating)。重分佈金屬線180提供頂金屬層172與半導體晶粒100之上表面之間的導電路徑。取決於沉積的方法,可由微影與蝕刻作圖案化或可選擇性(selectively)地沉積形成重分佈金屬線180。
在重分佈金屬線180與聚合物層178上形成第二聚合物層182。第二聚合物層182係由聚合物材料所形成,例如聚亞醯胺(polyimide)、聚苯噁唑(polybenzoxazole,PBO)、矽氧樹脂(silicone)、苯並環丁烯(benzocyclobutene,BCB)、環氧聚合物(epoxy)、塑形(molding)化合物(例如環氧樹脂)、塑模底膠(molded underfill)(例如樹脂)等。可由習知的任何合適方法製作第二聚合物層182,例如,旋轉塗佈(spin coating)或貼合(lamination)及視需要地進行硬化(curing)步驟。圖案化第二聚合物層182以形成多個開口而暴露出底導電墊188與部份的重分佈金屬線180。在第二聚合物層182中形成頂導電墊184且部分頂導電墊184形成於第二聚合物層182之上,頂導電墊184並連接至底導電墊188。由無電(electro-less,E-less)電鍍形成頂導電墊184。頂導電墊184可具有單層結構或複合層結構,複合結構包括由不同材料形成的多個次層(sub-layer),且可包一或多材料為鈦、銅、鎳、鈀、金、鉭、或上述之組合。一些實施例中,頂導電墊184係由無電鍍鎳鈀化金(Electro-less Nickel Electro-less Palladium Immersion Gold,ENEPIG)所組成,其包括鎳層、位於鎳層上的鈀層、與位於鈀層上的金層。可使用浸 鍍(immersion plating)形成金層。另一些實施例中,可使用其他材料與方法形成頂導電墊184,包括,但不限於,無電鍍鎳化金(Electro-less Nickel Immersion Gold,ENIG)、無電電鍍鎳/電鍍鈀(electro-less nickel electro-less palladium,ENEP)、直接浸金(Direct Immersion Gold,DIG)等。
在導電墊184上提供導電材料186。導電材料186係由焊球(solder ball)、焊料(solder)、微凸塊(micro bump)、銅柱(pillar)、金屬柱、銅柱釘(stud)、金柱釘、或上述之組合所組成。一些實施例中,可使用常用的方法形成焊球,例如,球轉移(transfer)或植球(ball placement)。另一些實施例中,導電材料186係由微凸塊所組成,例如銅、錫、鎳、鈦、鉭、焊料、或上述之組合,且可由微影與電鍍(electroplating)或無電電鍍(plating)形成微凸塊。又一些實施例中,導電材料186係由金屬柱所組成,例如鈦、錫、焊料、銅、鉭、鎳、或上述之組合,且可由微影與電鍍(electroplating)或其他電鍍形成微凸塊。
第1-1圖為第1圖中的區域A之詳細圖。在第一聚合物層178中的兩個相鄰的重分佈金屬線180之間形成凹口120。在兩個相鄰的重分佈金屬線180之間的凹口120之深度D1等於或大於約1 um,凹口120可防止半導體裝置運作時產生金屬橋接。亦可在重分佈金屬線180上形成抗電子遷移(anti-electromigration)層以增加對電子遷移的阻抗。
第2圖顯示在第1圖中一位置的結構,其相同於第1-1圖中的位置。相同或相似於對應第1圖從基板158到鈍化保護層174的說明將被省略。請參照第2圖,在第一聚合物層178 中的兩個相鄰的重分佈金屬線180之間形成凹口,凹口之深度D2等於或大於約1um。形成第2圖的局部結構的各種製程將搭配第2-1圖~第2-4圖作詳述。
請參照第2-1圖,在鈍化保護層(第1圖之層174,在此未顯示)上形成第一聚合物層178。第一聚合物層178是由聚合物材料所形成,例如聚亞醯胺(polyimide)、聚苯噁唑(polybenzoxazole,PBO)、矽氧樹脂(silicone)、苯並環丁烯(benzocyclobutene,BCB)、環氧聚合物(epoxy)、塑形(molding)化合物(例如環氧樹脂)、塑模底膠(molded underfill)(例如樹脂)等。可由習知的任何合適方法製作第一聚合物層178,例如,在200~400℃下進行旋轉塗佈(spin coating)及視需要地進行硬化(curing)步驟。
請參照第2-2圖,以微影步驟、金屬沉積、與蝕刻(視情況而定)在第一聚合物層178上形成重分佈金屬線180。重分佈金屬線180可由金屬製作,例如,鈦、氮化鈦、鋁、鉭、銅、或上述之組合。重分佈金屬線180可由習知的任何合適方法製作,例如,物理氣相沉積(physical vapor deposition,PVD)、濺鍍(sputter)、化學氣相沉積(chemical vapor deposition,CVD)、或電鍍(electroplating)。相鄰的兩個重分佈金屬線180之間隔小於約20um。在一些實施例中,相鄰的兩個重分佈金屬線180之間隔小於約10um。一些實施例中,使用清洗(cleaning)步驟以移除在微影步驟中所使用的光阻(photoresist)。
請參照第2-3圖,在未被重分佈金屬線180遮蓋的部分第一聚合物層178上形成凹口220。凹口220之深度D2等於或 大於約1 um。可為以重分佈金屬線180為蝕刻遮罩(mask),並使用帶有氣體的電漿蝕刻形成凹口220,氣體可為,例如,氮氣、氧氣、氧化氮、氧基(oxygen based)氣體、氧基(oxygen based)氣體混合物、氦氣、氬氣等。在一些實施例中,凹口220的形成方法可為帶有氣體的離子撞擊(bombardment),氣體可為,例如氮氣、氬氣、氮基(nitrogen based)氣體等。之後,可進行清洗步驟以移除電漿蝕刻的副產物。
請參照第2-4圖,第二聚合物層182形成並圍繞於重分佈金屬線180與第一聚合物層178上。第二聚合物層182是由聚合物材料所形成,例如聚亞醯胺(polyimide)、聚苯噁唑(polybenzoxazole,PBO)、矽氧樹脂(silicone)、苯並環丁烯(benzocyclobutene,BCB)、環氧聚合物(epoxy)、塑形(molding)化合物(例如環氧樹脂)、塑模底膠(molded underfill)(例如樹脂)等。可由習知的任何合適方法製作第二聚合物層182,例如,在200~400℃下進行旋轉塗佈(spin coating)及視需要地進行硬化(curing)步驟。
第3圖顯示在第1圖中一位置的結構,其相同於第1-1圖中的位置。相同或相似於對應第1圖從基板158到鈍化保護層174的說明將被省略。請參照第3圖,在第一聚合物層178中的兩個相鄰的重分佈金屬線180之間形成凹口,凹口之深度D3等於或大於約1 um。在重分佈金屬線180上沉積抗電子遷移層310以增加對電子遷移的電阻。形成第3圖的局部結構的各種製程將搭配第3-1圖~第3-5圖作詳述。
請參照第3-1圖,在鈍化保護層(第1圖之層174,在 此未顯示)上形成第一聚合物層178。第一聚合物層178是由聚合物材料所形成,例如聚亞醯胺(polyimide)、聚苯噁唑(polybenzoxazole,PBO)、矽氧樹脂(silicone)、苯並環丁烯(benzocyclobutene,BCB)、環氧聚合物(epoxy)、塑形(molding)化合物(例如環氧樹脂)、塑模底膠(molded underfill)(例如樹脂)等。可由習知的任何合適方法製作第一聚合物層178,例如,在200~400℃下進行旋轉塗佈(spin coating)及視需要地進行硬化(curing)步驟。
請參照第3-2圖,以微影步驟、金屬沉積、與蝕刻(視情況而定)在第一聚合物層178上形成重分佈金屬線180。重分佈金屬線180可由金屬製作,例如,鈦、氮化鈦、鋁、鉭、銅、或上述之組合。重分佈金屬線180可由習知的任何合適方法製作,例如,物理氣相沉積(physical vapor deposition,PVD)、濺鍍(sputter)、化學氣相沉積(chemical vapor deposition,CVD)、或電鍍(electroplating)。相鄰的兩個重分佈金屬線180之間隔小於約20 um。在一些實施例中,相鄰的兩個重分佈金屬線180之間隔小於約10 um。一些實施例中,使用清洗(cleaning)步驟以移除在微影步驟中所使用的光阻(photoresist)。
請參照第3-3圖,在重分佈金屬線180上沉積抗電子遷移層310。抗電子遷移層310之厚度為約100~10000埃。抗電子遷移層310可包括金、銀、鈀、銦、鎳、鍺、鉑、鎳鈀金合金、鎳金合金、其他貴金屬、或上述之組合。一些實施例中,抗電子遷移層310為多層(multi-layer)結構,包括鉑、鈀、鍺、銦、鋁、銀、鎳、鈀合金、鋁合金、銀合金、鎳合金、鍺合金、 銦合金、與鉑合金上述至少一者。可藉由任何適合的習知方法製作抗電子遷移層,例如物裡氣相沉積(PVD)、濺鍍、化學氣相沉積(CVD)、或電鍍(electroplating)。
請參照第3-4圖,在未被重分佈金屬線180遮蓋的部分第一聚合物層178上視需要地形成凹口320。凹口320之深度D3等於或大於約1 um。可為以重分佈金屬線180與抗電子遷移層310為蝕刻遮罩(mask),並使用帶有氣體的電漿蝕刻形成凹口320,氣體可為,例如,氮氣、氧氣、氧化氮、氧基(oxygen based)氣體、氧基(oxygen based)氣體混合物、氦氣、氬氣等。在一些實施例中,凹口320的形成方法可為帶有氣體的離子撞擊(bombardment),氣體可為,例如氮氣、氬氣、氮基(nitrogen based)氣體等。之後,可進行清洗步驟以移除電漿蝕刻的副產物。
請參照第3-5圖,第二聚合物層182形成並圍繞於重分佈金屬線180與第一聚合物層178上。第二聚合物層182是由聚合物材料所形成,例如聚亞醯胺(polyimide)、聚苯噁唑(polybenzoxazole,PBO)、矽氧樹脂(silicone)、苯並環丁烯(benzocyclobutene,BCB)、環氧聚合物(epoxy)、塑形(molding)化合物(例如環氧樹脂)、塑模底膠(molded underfill)(例如樹脂)等。可由習知的任何合適方法製作第二聚合物層182,例如,在200~400℃下進行旋轉塗佈(spin coating)及視需要地進行硬化(curing)步驟。
第4圖顯示在第1圖中一位置的結構,其相同於第1-1圖中的位置。相同或相似於對應第1圖從基板158到鈍化保 護層174的說明將被省略。請參照第3圖,在第一聚合物層178中的兩個相鄰的重分佈金屬線180之間形成凹口,凹口之深度D4等於或大於約1 um。在重分佈金屬線180上沉積抗電子遷移層410以增加對電子遷移的電阻。形成第4圖的局部結構的各種製程將搭配第4-1圖~第4-5圖作詳述。
請參照第4-1圖,在鈍化保護層(第1圖之層174,在此未顯示)上形成第一聚合物層178。第一聚合物層178是由聚合物材料所形成,例如聚亞醯胺(polyimide)、聚苯噁唑(polybenzoxazole,PBO)、矽氧樹脂(silicone)、苯並環丁烯(benzocyclobutene,BCB)、環氧聚合物(epoxy)、塑形(molding)化合物(例如環氧樹脂)、塑模底膠(molded underfill)(例如樹脂)等。可由習知的任何合適方法製作第一聚合物層178,例如,在200~400℃下進行旋轉塗佈(spin coating)及視需要地進行硬化(curing)步驟。
請參照第4-2圖,以微影步驟、金屬沉積、與蝕刻(視情況而定)在第一聚合物層178上形成重分佈金屬線180。重分佈金屬線180可由金屬製作,例如,鈦、氮化鈦、鋁、鉭、銅、或上述之組合。重分佈金屬線180可由習知的任何合適方法製作,例如,物理氣相沉積(physical vapor deposition,PVD)、濺鍍(sputter)、化學氣相沉積(chemical vapor deposition,CVD)、或電鍍(electroplating)。相鄰的兩個重分佈金屬線180之間隔小於約20 um。在一些實施例中,相鄰的兩個重分佈金屬線180之間隔小於約10 um。一些實施例中,使用清洗(cleaning)步驟以移除在微影步驟中所使用的光阻(photoresist)。
請參照第4-3圖,在未被重分佈金屬線180遮蓋的部分第一聚合物層178上形成凹口420。凹口420之深度D4等於或大於約1 um。可為以重分佈金屬線180與抗電子遷移層410為蝕刻遮罩(mask),並使用帶有氣體的電漿蝕刻形成凹口420,氣體可為,例如,氮氣、氧氣、氧化氮、氧基(oxygen based)氣體、氧基(oxygen based)氣體混合物、氦氣、氬氣等。在一些實施例中,凹口420的形成方法可為帶有氣體的離子撞擊(bombardment),氣體可為,例如氮氣、氬氣、氮基(nitrogen based)氣體等。之後,可進行清洗步驟以移除電漿蝕刻的副產物。
請參照第4-4圖,在重分佈金屬線180上沉積抗電子遷移層410,且抗電子遷移層410之厚度為約100~10000埃。抗電子遷移層410為非金屬材料層,例如,介電材料層、聚合物材料層、或上述之組合。抗電子遷移層410可為單層或多層結構。在一些實施例中,抗電子遷移層410為介電材料層,其是由氮化矽、氧化矽、氮氧化矽、碳化矽、氮化碳矽(silicon carbon nitride)、重複氧化矽與氮化矽的層、或上述之組合等材料,並藉由不同的沉積技術所形成,沉積技術可包括熱氧化、低壓化學氣相沉積(low-pressure chemical vapor deposition,LPVCD)、大氣壓力化學氣相沉積(atmospheric-pressure chemical vapor deposition,APCVD)、電漿增強化學氣相沉積(plasma-enhaced chemical vapor depostion,PEVDE)、熱絲化學氣相沉積(hot wire chemical vapor deposition,HWCVD)、與未來發展的沉積製程。一些實施例中,抗電子遷移層410為聚合物材料層,例如,環氧聚合物(epoxy)、聚亞醯胺(polyimide)、 苯並環丁烯(benzocyclobutene,BCB)、聚苯噁唑(polybenzoxazole,PBO)等,然而,也可使用其他相對較軟的介電材料,通常為有機物。
在形成抗電子遷移層410後可視情況而定地進行蝕刻製程,以移除位於重分佈金屬線180與第一聚合物層178上的部分抗電子遷移層410。蝕刻製程包括乾蝕刻,例如電漿蝕刻或活性(reactive)離子蝕刻。
請參照第4-5圖,第二聚合物層182形成並圍繞於重分佈金屬線180與第一聚合物層178上。第二聚合物層182是由聚合物材料所形成,例如聚亞醯胺(polyimide)、聚苯噁唑(polybenzoxazole,PBO)、矽氧樹脂(silicone)、苯並環丁烯(benzocyclobutene,BCB)、環氧聚合物(epoxy)、塑形(molding)化合物(例如環氧樹脂)、塑模底膠(molded underfill)(例如樹脂)等。可由習知的任何合適方法製作第二聚合物層182,例如,在200~400℃下進行旋轉塗佈(spin coating)及視需要地進行硬化(curing)步驟。
第5圖顯示在第1圖中一位置的結構,其相同於第1-1圖中的位置。相同或相似於對應第1圖從基板158到鈍化保護層174的說明將被省略。請參照第5圖,在第一聚合物層178中的兩個相鄰的重分佈金屬線180之間形成凹口,凹口之深度D5等於或大於約1 um。在重分佈金屬線180上沉積抗電子遷移層510以增加對電子遷移的電阻。形成第3圖的局部結構的各種製程將搭配第5-1圖~第5-5圖作詳述。
請參照第5-1圖,在鈍化保護層(第1圖之層174,在 此未顯示)上形成第一聚合物層178。第一聚合物層178是由聚合物材料所形成,例如聚亞醯胺(polyimide)、聚苯噁唑(polybenzoxazole,PBO)、矽氧樹脂(silicone)、苯並環丁烯(benzocyclobutene,BCB)、環氧聚合物(epoxy)、塑形(molding)化合物(例如環氧樹脂)、塑模底膠(molded underfill)(例如樹脂)等。可由習知的任何合適方法製作第一聚合物層178,例如,在200~400℃下進行旋轉塗佈(spin coating)及視需要地進行硬化(curing)步驟。
請參照第5-2圖,以微影步驟、金屬沉積、與蝕刻(視情況而定)在第一聚合物層178上形成重分佈金屬線180。重分佈金屬線180可由金屬製作,例如,鈦、氮化鈦、鋁、鉭、銅、或上述之組合。重分佈金屬線180可由習知的任何合適方法製作,例如,物理氣相沉積(physical vapor deposition,PVD)、濺鍍(sputter)、化學氣相沉積(chemical vapor deposition,CVD)、或電鍍(electroplating)。相鄰的兩個重分佈金屬線180之間隔小於約20 um。在一些實施例中,相鄰的兩個重分佈金屬線180之間隔小於約10 um。一些實施例中,使用清洗(cleaning)步驟以移除在微影步驟中所使用的光阻(photoresist)。
請參照第5-3圖,在重分佈金屬線180上沉積抗電子遷移層510。抗電子遷移層510之厚度為約100~10000埃。抗電子遷移層510為非金屬材料層,例如,介電材料層、聚合物材料層、或上述之組合。抗電子遷移層510可為單層或多層結構。在一些實施例中,抗電子遷移層510為介電材料層,其是由氮化矽、氧化矽、氮氧化矽、碳化矽、氮化碳矽(silicon carbon nitride)、重複氧化矽與氮化矽的層、或上述之組合等材料並藉由不同的沉積技術所形成,沉積技術可包括熱氧化、低壓化學氣相沉積(low-pressure chemical vapor deposition,LPVCD)、大氣壓力化學氣相沉積(atmospheric-pressure chemical vapor deposition,APCVD)、電漿增強化學氣相沉積(plasma-enhaced chemical vapor depostion,PEVDE)、熱絲化學氣相沉積(hot wire chemical vapor deposition,HWCVD)、與未來發展的沉積製程。一些實施例中,抗電子遷移層510為聚合物材料層,例如,環氧聚合物(epoxy)、聚亞醯胺(polyimide)、苯並環丁烯(benzocyclobutene,BCB)、聚苯噁唑(polybenzoxazole,PBO)等,然而,也可使用其他相對較軟的介電材料,通常為有機物。
請參照第5-4圖,在未被重分佈金屬線180遮蓋的部分第一聚合物層178上形成凹口520。凹口520之深度D5等於或大於約1 um。可為以重分佈金屬線180與抗電子遷移層510為蝕刻遮罩(mask),並使用帶有氣體的電漿蝕刻形成凹口520,氣體可為,例如,氮氣、氧氣、氧化氮、氧基(oxygen based)氣體、氧基(oxygen based)氣體混合物、氦氣、氬氣等。在一些實施例中,凹口520的形成方法可為帶有氣體的離子撞擊(bombardment),氣體可為,例如氮氣、氬氣、氮基(nitrogen based)氣體等。之後,可進行清洗步驟以移除電漿蝕刻的副產物。
請參照第5-5圖,第二聚合物層182形成並圍繞於重分佈金屬線180與第一聚合物層178上。第二聚合物層182是由聚合物材料所形成,例如聚亞醯胺(polyimide)、聚苯噁唑(polybenzoxazole,PBO)、矽氧樹脂(silicone)、苯並環丁烯 (benzocyclobutene,BCB)、環氧聚合物(epoxy)、塑形(molding)化合物(例如環氧樹脂)、塑模底膠(molded underfill)(例如樹脂)等。可由習知的任何合適方法製作第二聚合物層182,例如,在200~400℃下進行旋轉塗佈(spin coating)及視需要地進行硬化(curing)步驟。
第6圖為對應到第2圖~第5-5圖的方法600之流程圖。方法從步驟601開始,在基板上形成多個介電層。基板可包括多個裝置形成於其上。在步驟603中,在基板上的該些介電層中形成多個金屬層。本領域中具有通常知識者將理解可重複步驟601與603以形成多個金屬層在對應的多個介電層中。在步驟605中,對應到第2-1圖、第3-1圖、第4-1圖、與第5-1圖,在該些介電層與該些金屬層上方形成第一聚合物層。在步驟607,對應到第2-2圖、第3-2圖、第4-2圖、與第5-2圖,在第一聚合物層上形成多個金屬線。在步驟609中,在兩個相鄰的金屬線之間的第一聚合物層中形成凹口,凹口之深度等於或大於約1 um。步驟609對應到第2-3圖、第3-4圖、第4-3圖、與第5-4圖。在可選的(optional)步驟611(視情況而選擇)中,在該些金屬層之側壁與第一聚合物層之間形成抗電子遷移層。步驟611對應到第3-3圖、第4-4圖、與第5-3圖。一些實施例中,步驟611接在步驟609之後(對應到第4圖、第4-1圖~第4-5圖及其相關的文字敘述)。一些實施例中,步驟611接在步驟607之後(對應到第5圖、第5-1圖~第5-5圖及其相關的文字敘述)。一些實施例中,步驟609接在步驟611之後(對應到第3圖、第3-1圖~第3-5圖及其相關的文字敘述)。在步驟613中,在該些金屬線與第一 聚合物層上方形成第二聚合物層。
雖然本發明已以較佳實施例揭露如上,然其並非用以限定本發明,任何所屬技術領域中具有通常知識者,在不脫離本發明之精神和範圍內,當可作更動、替代與潤飾。舉例來說,任何所屬技術領域中具有通常知識者可輕易理解此處所述的許多特徵、功能、製程及材料可在本發明的範圍內作更動。
再者,本發明之保護範圍並未侷限於說明書內所述特定實施例中的製程、機器、製造、物質組成、裝置、方法及步驟,任何所屬技術領域中具有通常知識者可從本發明揭示內容中理解現行或未來所發展出的製程、機器、製造、物質組成、裝置、方法及步驟,只要可以在此處所述實施例中實施大體相同功能或獲得大體相同結果皆可使用於本發明中。因此,本發明之保護範圍包括上述製程、機器、製造、物質組成、裝置、方法及步驟。另外,每一申請專利範圍構成個別的實施例,且本發明之保護範圍也包括各個申請專利範圍及實施例的組合。
100‧‧‧半導體晶粒
158‧‧‧基板
160‧‧‧電子電路
162、168‧‧‧層間介電層
164、170‧‧‧插塞
166、172‧‧‧金屬層
174‧‧‧鈍化保護層
176‧‧‧開口
178‧‧‧第一聚合物層
180‧‧‧重分佈金屬線
182‧‧‧第二聚合物層
184、188‧‧‧導電墊
186‧‧‧導電材料
120‧‧‧凹口

Claims (10)

  1. 一種半導體裝置,包括:一基板;多個層間介電層,於該基板上方;多個金屬層,於該基板上方;一第一聚合物層,於該些層間介電層與該些金屬層上方;其中該第一聚合物層具有一第一表面、一第二表面於該第一表面的同側、及一第三表面於該第一表面之相反側,且該第一表面與該第二表面之一深度差等於或大於約1 um;多個金屬線,於該第一表面與該第一聚合物層上;以及一第二聚合物層,於該些金屬線與該第一聚合物層上。
  2. 如申請專利範圍第1項所述之半導體裝置,更包括一非金屬材料層,於該些金屬線之側壁與該第二聚合物層之間,且其中該非金屬材料層包括氮化矽、氧化矽、氮氧化矽、碳化矽、氮化碳矽(silicon carbon nitride)、或上述之組合。
  3. 如申請專利範圍第1項所述之半導體裝置,其中該第一聚合物層包括聚亞醯胺(polyimide)、聚苯噁唑(polybenzoxazole,PBO)、矽氧樹脂(silicone)、苯並環丁烯(benzocyclobutene,BCB)、環氧聚合物(epoxy)、樹脂(resin)等,且其中該第二聚合物層包括聚亞醯胺(polyimide)、聚苯噁唑(polybenzoxazole,PBO)、矽氧樹脂(silicone)、苯並環丁烯(benzocyclobutene,BCB)、環氧聚合物(epoxy)、樹脂(resin)等。
  4. 如申請專利範圍第1項所述之半導體裝置,其中該些金屬線 中相鄰的兩個金屬線的間隔小於約20 um。
  5. 一種半導體裝置,包括:一基板;多個層間介電層,於該基板上方;多個金屬層,於該基板上方;一第一聚合物層,於該些層間介電層與該些金屬層上方;多個金屬線,於該第一聚合物層之一第一表面上;一第二聚合物層,於該些金屬線上;以及一抗電子遷移層,於該些金屬線之側壁與該第二聚合物層之間。
  6. 如申請專利範圍第5項所述之半導體裝置,其中該第一聚合物層具有一第二表面於該第一表面的同側、及一第三表面於該第一表面之相反側,且該第一表面與該第二表面之一深度差等於或大於約1 um。
  7. 如申請專利範圍第5項所述之半導體裝置,其中該抗電子遷移層為金、鎳、鉑、錫、鉭、鈀、氮化鈦、鎢、銀、鍺、銦、或上述之組合。
  8. 一種半導體裝置的製造方法,包括:提供一基板;形成多個層間介電層於該基板上方;形成多個金屬層於該基板上方;形成一第一聚合物層於該些層間介電層與該些金屬層上方;形成多個金屬線於該第一聚合物層上; 形成一凹口於兩個相鄰的金屬線之間的該第一聚合物層中,該凹口之深度等於或大於約1 um;以及形成一第二聚合物層於該些金屬線上。
  9. 如申請專利範圍第8項所述之半導體裝置的製造方法,其中該第一聚合物層包括聚亞醯胺(polyimide)、聚苯噁唑(polybenzoxazole,PBO)、矽氧樹脂(silicone)、苯並環丁烯(benzocyclobutene,BCB)、環氧聚合物(epoxy)、樹脂(resin)等,且其中該第二聚合物層包括聚亞醯胺(polyimide)、聚苯噁唑(polybenzoxazole,PBO)、矽氧樹脂(silicone)、苯並環丁烯(benzocyclobutene,BCB)、環氧聚合物(epoxy)、樹脂(resin)等。
  10. 如申請專利範圍第8項所述之半導體裝置的製造方法,更包括:形成一抗電子遷移層於該些金屬線之側壁與該第二聚合物層之間。
TW102128418A 2012-08-29 2013-08-08 半導體裝置及其製造方法 TWI491006B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US13/598,196 US8754508B2 (en) 2012-08-29 2012-08-29 Structure to increase resistance to electromigration

Publications (2)

Publication Number Publication Date
TW201409647A TW201409647A (zh) 2014-03-01
TWI491006B true TWI491006B (zh) 2015-07-01

Family

ID=50186357

Family Applications (1)

Application Number Title Priority Date Filing Date
TW102128418A TWI491006B (zh) 2012-08-29 2013-08-08 半導體裝置及其製造方法

Country Status (3)

Country Link
US (1) US8754508B2 (zh)
CN (1) CN103681555B (zh)
TW (1) TWI491006B (zh)

Families Citing this family (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9472523B2 (en) 2014-01-14 2016-10-18 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and manufacturing method thereof
US9343385B2 (en) 2014-07-30 2016-05-17 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device comprising a chip substrate, a mold, and a buffer layer
US9871013B2 (en) 2014-12-29 2018-01-16 Taiwan Semiconductor Manufacturing Company, Ltd. Contact area design for solder bonding
US9502272B2 (en) 2014-12-29 2016-11-22 Taiwan Semiconductor Manufacturing Company, Ltd. Devices and methods of packaging semiconductor devices
US9461106B1 (en) 2015-03-16 2016-10-04 Taiwan Semiconductor Manufacturing Company, Ltd. MIM capacitor and method forming the same
US9793231B2 (en) * 2015-06-30 2017-10-17 Taiwan Semiconductor Manufacturing Company, Ltd. Under bump metallurgy (UBM) and methods of forming same
US9773768B2 (en) 2015-10-09 2017-09-26 Taiwan Semiconductor Manufacturing Company, Ltd. Method and structure of three-dimensional chip stacking
US9935047B2 (en) 2015-10-16 2018-04-03 Taiwan Semiconductor Manufacturing Company, Ltd. Bonding structures and methods forming the same
US9780046B2 (en) 2015-11-13 2017-10-03 Taiwan Semiconductor Manufacturing Company, Ltd. Seal rings structures in semiconductor device interconnect layers and methods of forming the same
US9786617B2 (en) 2015-11-16 2017-10-10 Taiwan Semiconductor Manufacturing Company, Ltd. Chip packages and methods of manufacture thereof
US10170461B2 (en) 2015-11-16 2019-01-01 Taiwan Semiconductor Manufacturing Co., Ltd. ESD hard backend structures in nanometer dimension
US9627365B1 (en) 2015-11-30 2017-04-18 Taiwan Semiconductor Manufacturing Company, Ltd. Tri-layer CoWoS structure
US9893028B2 (en) 2015-12-28 2018-02-13 Taiwan Semiconductor Manufacturing Company, Ltd. Bond structures and the methods of forming the same
US9576929B1 (en) 2015-12-30 2017-02-21 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-strike process for bonding
US9831148B2 (en) 2016-03-11 2017-11-28 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated fan-out package including voltage regulators and methods forming same
US10115686B2 (en) 2016-03-25 2018-10-30 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and fabricating method thereof
US9865566B1 (en) 2016-06-15 2018-01-09 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and manufacturing method thereof
US9953863B1 (en) 2016-10-07 2018-04-24 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming an interconnect structure
US10276525B2 (en) 2016-11-28 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure and method of fabricating the same
US10861705B2 (en) 2017-08-31 2020-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. Reduction of line wiggling
US11177142B2 (en) 2017-11-30 2021-11-16 Taiwan Semiconductor Manufacturing Company, Ltd. Method for dicing integrated fan-out packages without seal rings
US11024593B2 (en) 2018-09-28 2021-06-01 Taiwan Semiconductor Manufacturing Co., Ltd. Metal bumps and method forming same
US11862588B2 (en) 2021-01-14 2024-01-02 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
US11862599B2 (en) 2021-03-26 2024-01-02 Taiwan Semiconductor Manufacturing Co., Ltd. Bonding to alignment marks with dummy alignment marks

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050087837A1 (en) * 2002-06-12 2005-04-28 Kabushiki Kaisha Toshiba Semiconductor device and method of manufacturing the same
US20080160652A1 (en) * 2006-12-27 2008-07-03 Shi-Jie Bai Two-step method for etching a fuse window on a semiconductor substrate
US20100006984A1 (en) * 2007-03-30 2010-01-14 Fujitsu Microelectronics Limited Semiconductor device

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6054340A (en) * 1997-06-06 2000-04-25 Motorola, Inc. Method for forming a cavity capable of accessing deep fuse structures and device containing the same
JP4322330B2 (ja) * 1998-09-04 2009-08-26 エルピーダメモリ株式会社 半導体集積回路装置の製造方法
US6177297B1 (en) * 1999-01-11 2001-01-23 United Microelectronics Corp. Method of forming metallic fuse demanding lower laser power for circuit repair
JP2001196413A (ja) * 2000-01-12 2001-07-19 Mitsubishi Electric Corp 半導体装置、該半導体装置の製造方法、cmp装置、及びcmp方法
JP2001319928A (ja) * 2000-05-08 2001-11-16 Hitachi Ltd 半導体集積回路装置およびその製造方法
US6440833B1 (en) * 2000-07-19 2002-08-27 Taiwan Semiconductor Manufacturing Company Method of protecting a copper pad structure during a fuse opening procedure
JP3977578B2 (ja) * 2000-09-14 2007-09-19 株式会社東芝 半導体装置および製造方法
CN1278413C (zh) * 2000-09-25 2006-10-04 揖斐电株式会社 半导体元件及其制造方法、多层印刷布线板及其制造方法
JP3983996B2 (ja) * 2001-04-23 2007-09-26 株式会社ルネサステクノロジ 半導体集積回路装置
JP2003068848A (ja) * 2001-08-29 2003-03-07 Fujitsu Ltd 半導体装置及びその製造方法
JP2003332423A (ja) * 2002-05-14 2003-11-21 Mitsubishi Electric Corp 半導体装置およびその製造方法
JP3779243B2 (ja) * 2002-07-31 2006-05-24 富士通株式会社 半導体装置及びその製造方法
JP4086673B2 (ja) * 2003-02-04 2008-05-14 Necエレクトロニクス株式会社 半導体装置及びその製造方法
CN100505223C (zh) * 2003-04-17 2009-06-24 国际商业机器公司 微电子互连结构体中的多层覆盖阻隔层
WO2006052958A2 (en) * 2004-11-08 2006-05-18 Epion Corporation Copper interconnect wiring and method of forming thereof
JP2006210508A (ja) * 2005-01-26 2006-08-10 Sony Corp 半導体装置およびその製造方法
JP2007115980A (ja) * 2005-10-21 2007-05-10 Toshiba Corp 半導体装置及びその製造方法
US7859113B2 (en) * 2007-02-27 2010-12-28 International Business Machines Corporation Structure including via having refractory metal collar at copper wire and dielectric layer liner-less interface and related method

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050087837A1 (en) * 2002-06-12 2005-04-28 Kabushiki Kaisha Toshiba Semiconductor device and method of manufacturing the same
US20080160652A1 (en) * 2006-12-27 2008-07-03 Shi-Jie Bai Two-step method for etching a fuse window on a semiconductor substrate
US20100006984A1 (en) * 2007-03-30 2010-01-14 Fujitsu Microelectronics Limited Semiconductor device

Also Published As

Publication number Publication date
CN103681555A (zh) 2014-03-26
TW201409647A (zh) 2014-03-01
CN103681555B (zh) 2016-10-05
US20140061923A1 (en) 2014-03-06
US8754508B2 (en) 2014-06-17

Similar Documents

Publication Publication Date Title
TWI491006B (zh) 半導體裝置及其製造方法
US11257775B2 (en) Mechanisms for forming post-passivation interconnect structure
TWI520243B (zh) 半導體裝置及其製造方法
CN104617078B (zh) 用于形成金属‑绝缘体‑金属(mim)电容器结构的机制
TWI552297B (zh) 半導體裝置及其製造方法
TWI569313B (zh) 半導體裝置之製造方法
JP5739434B2 (ja) 銅プラグを有する半導体デバイスおよびデバイスを形成するための方法
US20100013100A1 (en) Method and System for Forming Conductive Bumping with Copper Interconnection
US9824987B2 (en) Semiconductor structure with composite barrier layer under redistribution layer and manufacturing method thereof
US10755995B2 (en) Warpage control of semiconductor die
US20220293494A1 (en) Method of forming semiconductor device
US10263064B2 (en) Semiconductor devices and methods of forming the same
US20140264884A1 (en) WLCSP Interconnect Apparatus and Method
TWI630691B (zh) 封裝結構及形成方法
US8697565B2 (en) Shallow via formation by oxidation
US20140117534A1 (en) Interconnection Structure
US10510661B2 (en) Semiconductor devices and methods of forming the same
KR20120093727A (ko) 반도체 소자의 제조방법