JP5739434B2 - 銅プラグを有する半導体デバイスおよびデバイスを形成するための方法 - Google Patents

銅プラグを有する半導体デバイスおよびデバイスを形成するための方法 Download PDF

Info

Publication number
JP5739434B2
JP5739434B2 JP2012532086A JP2012532086A JP5739434B2 JP 5739434 B2 JP5739434 B2 JP 5739434B2 JP 2012532086 A JP2012532086 A JP 2012532086A JP 2012532086 A JP2012532086 A JP 2012532086A JP 5739434 B2 JP5739434 B2 JP 5739434B2
Authority
JP
Japan
Prior art keywords
layer
semiconductor device
insulating layer
opening
copper
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2012532086A
Other languages
English (en)
Other versions
JP2013506999A (ja
Inventor
ファルーク・ムクタ・ジー
キンサー・エミリー・アール
メルヴィル・イアン・ディー
セムコウ・クリスティニャ・ダブリュ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of JP2013506999A publication Critical patent/JP2013506999A/ja
Application granted granted Critical
Publication of JP5739434B2 publication Critical patent/JP5739434B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02697Forming conducting materials on a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/03Manufacturing methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L24/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/03Manufacturing methods
    • H01L2224/03001Involving a temporary auxiliary member not forming part of the manufacturing apparatus, e.g. removable or sacrificial coating, film or substrate
    • H01L2224/03009Involving a temporary auxiliary member not forming part of the manufacturing apparatus, e.g. removable or sacrificial coating, film or substrate for protecting parts during manufacture
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/03Manufacturing methods
    • H01L2224/034Manufacturing methods by blanket deposition of the material of the bonding area
    • H01L2224/03444Manufacturing methods by blanket deposition of the material of the bonding area in gaseous form
    • H01L2224/0345Physical vapour deposition [PVD], e.g. evaporation, or sputtering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/03Manufacturing methods
    • H01L2224/034Manufacturing methods by blanket deposition of the material of the bonding area
    • H01L2224/03444Manufacturing methods by blanket deposition of the material of the bonding area in gaseous form
    • H01L2224/03452Chemical vapour deposition [CVD], e.g. laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/0401Bonding areas specifically adapted for bump connectors, e.g. under bump metallisation [UBM]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05005Structure
    • H01L2224/05006Dual damascene structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05005Structure
    • H01L2224/05007Structure comprising a core and a coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/0501Shape
    • H01L2224/05016Shape in side view
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/0502Disposition
    • H01L2224/05026Disposition the internal layer being disposed in a recess of the surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/0502Disposition
    • H01L2224/05026Disposition the internal layer being disposed in a recess of the surface
    • H01L2224/05027Disposition the internal layer being disposed in a recess of the surface the internal layer extending out of an opening
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05117Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C
    • H01L2224/05124Aluminium [Al] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05147Copper [Cu] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05157Cobalt [Co] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/05186Material with a principal constituent of the material being a non metallic, non metalloid inorganic material
    • H01L2224/05187Ceramics, e.g. crystalline carbides, nitrides or oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0556Disposition
    • H01L2224/05562On the entire exposed surface of the internal layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0556Disposition
    • H01L2224/05571Disposition the external layer being disposed in a recess of the surface
    • H01L2224/05572Disposition the external layer being disposed in a recess of the surface the external layer extending out of an opening
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L24/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/00014Technical content checked by a classifier the subject-matter covered by the group, the symbol of which is combined with the symbol of this group, being disclosed without further technical details
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01013Aluminum [Al]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01023Vanadium [V]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01029Copper [Cu]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01032Germanium [Ge]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01033Arsenic [As]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01073Tantalum [Ta]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01074Tungsten [W]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01078Platinum [Pt]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/013Alloys
    • H01L2924/0132Binary Alloys
    • H01L2924/01327Intermediate phases, i.e. intermetallics compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/013Alloys
    • H01L2924/014Solder alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/049Nitrides composed of metals from groups of the periodic table
    • H01L2924/04944th Group
    • H01L2924/04941TiN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/156Material
    • H01L2924/15786Material with a principal constituent of the material being a non metallic, non metalloid inorganic material
    • H01L2924/15787Ceramics, e.g. crystalline carbides, nitrides or oxides

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Description

本発明は、半導体デバイスに関し、より具体的には、パッケージに接合し銅プラグを有するフリップ・チップに好適な、半導体デバイスに関する。
いわゆるフリップ・チップ・パッケージは、従来のワイヤ・ボンディングされたパッケージに対していくつかの利点を提供するため、一般に、半導体デバイスをパッケージに接合するために使用される。これらの利点には、コンパクトさ、耐久性、およびコストが含まれる。フリップ・チップ接合用の半導体デバイスでは、半導体デバイスは、絶縁層と、ボール制限冶金(ball limiting metallurgy)(時にはアンダーバンプ冶金と呼ばれる)および多量のはんだを受け取るためのビア開口部が存在する最終不活性化層(final layer of passivation)とを有する。絶縁層材料は、たとえば窒化シリコンまたは酸化シリコンとすることが可能であり、最終不活性化層材料は、たとえばポリイミドまたは感光性ポリイミドとすることができる。
ボール制限冶金およびはんだを有する完成半導体チップは、プリント回路基板またはセラミック基板などのパッケージと接触して配置し、その後加熱して、はんだをリフローさせ、半導体チップをパッケージに接合させることができる。
本発明は、現在半導体デバイス上で使用されている最終アルミニウム層を、構造体の絶縁層内の銅プラグに置き換える。本発明の諸実施形態は、現在最終アルミニウム層を使用している半導体設計の、エレクトロマイグレーションおよび構造上の問題に対処する。
上記および下記のような本発明の様々な利点および目的は、本発明の第1の態様に従い、複数の配線層を有する半導体基板であって、最終配線層が導電材料を含む半導体基板と、最終配線層上に形成された絶縁層であって、絶縁層が最終配線層内の導電材料を露出させるために内部に形成されたビア開口部を有する、絶縁層と、ビア開口部内に形成された障壁層と、障壁層上に形成されビア開口部を埋める銅プラグとを含む、半導体デバイスを提供することによって達成される。
本発明の第2の態様に従い、導電材料を含む最終配線層を有する半導体基板と、最終配線層上に形成された絶縁層であって、絶縁層が最終配線層内の導電材料を露出させるために内部に形成されたビア開口部を有する、絶縁層と、ビア開口部内に形成されこれを埋める銅プラグとを含む、半導体デバイスが提供される。
本発明の第3の態様に従い、複数の配線層を有する半導体基板を取得することであって、最終配線層が導電材料を含む、取得すること、最終配線層上に絶縁層を形成すること、絶縁層内にビア開口部を形成すること、ビア開口部内に隔壁層を形成すること、および、隔壁層上に銅プラグを形成してビア開口部を埋めることを含む、半導体デバイスを形成する方法が提供される。
本発明の第4の態様に従い、半導体デバイスの最終配線層上に絶縁層を形成すること、最終配線層内の導電材料を露出させるために絶縁層内にビア開口部を形成すること、および、ビア開口部内に銅プラグを形成してこれを埋めることを含む、半導体デバイスを形成する方法が提供される。
新規であると思われる本発明の特徴および本発明の要素特性については、添付の特許請求の範囲で具体的に示される。図面は単なる例示の目的であり、正確な縮尺ではない。しかしながら本発明自体は、組織と動作方法の両方に関して、添付の図面に関連して以下に記載された詳細な説明を参照することにより、最もよく理解されるであろう。
銅プラグを備えた絶縁層を有する、本発明の第1の実施形態を示す断面図である。 銅プラグおよび不活性化層を備えた絶縁層を有する、本発明の第2の実施形態を示す断面図である。 本発明の第1および第2の実施形態を形成するためのステップを示す断面図である。 本発明の第1および第2の実施形態を形成するためのステップを示す断面図である。 本発明の第1および第2の実施形態を形成するためのステップを示す断面図である。 本発明の第1および第2の実施形態を形成するためのステップを示す断面図である。 本発明の第1および第2の実施形態を形成するためのステップを示す断面図である。 本発明の第1および第2の実施形態を形成するためのステップを示す断面図である。 アルミニウム層および銅プラグを備えた絶縁層を有する、本発明の第3の実施形態を示す断面図である。 アルミニウム層および銅プラグおよび不活性化層を備えた絶縁層を有する、本発明の第4の実施形態を示す断面図である。
図面、特に図1をより詳細に参照すると、本発明の第1の実施形態の断面図が示されている。半導体デバイス10は、半導体材料、トランジスタなどのライン・フィーチャのフロント・エンド、およびライン配線層のバック・エンドを含む、半導体基板12を備える。図1では、見やすいように最終配線層14のみが示されている。最終配線層14は、誘電材料16および金属配線ライン18を含む。金属配線ライン18の組成は任意の特定の冶金に限定されるものではなく、たとえば金属配線ライン18には、アルミニウム、アルミ銅合金、銅、銅合金、または当業者に知られた他の導電性材料を使用することができる。半導体材料は、シリコン、シリコン・ゲルマニウム、ゲルマニウムなどのIV族半導体、III−V化合物半導体、またはII−VI化合物半導体を含むが、これらに限定されない、任意の半導体材料とすることができる。
金属配線ライン8中の金属は、その所望の電気的特性により、好ましくは銅である。銅の使用には、信頼性に影響を与える可能性のあるエレクトロマイグレーション問題を含む、内在する問題もある。また銅は、酸化を防止するために大気から、および、エレクトロマイグレーション性能に悪影響を与える抵抗性金属間副生成物の形成から、保護しなければならない。
したがって本発明者等は、ウェハ仕上げのための導電材料と、後続のボール制限冶金蒸着プロセスのための平面とを提供する働きをする、絶縁層内の銅プラグを提案してきた。銅プラグは、基礎となる銅配線層への損傷も防止する。
さらに図1を参照すると、半導体デバイス10は、1つまたは複数の個々の副層をさらに備えることが可能な絶縁層20を備える。好ましい一実施形態では、絶縁層20は、NBLoK(NBLoKはApplied Materials, Inc.の商標である)副層22、二酸化シリコン副層24、および窒化シリコン副層26を含む。別の方法として、窒化シリコンをNBLoK副層22の代用としてもよい。絶縁層20には、他の材料を使用することができる。他の実施形態では、副層22と副層24との間に追加の窒化物副層(図示せず)が存在してもよい。絶縁層20は、電気的絶縁層として働くことになる単数または複数の任意の誘電材料を備えることができる。例示の目的で、こうした誘電材料はSiCOH組成物などの低誘電性材料とすることができるが、これらに限定されるものではない。絶縁層20内には銅プラグ28が含められ、好ましくは、たとえばタンタルおよび窒化タンタルの障壁層30が存在する。障壁層30には、チタニウム、チタン・タングステン、窒化チタン、または窒化タングステンなどの他の材料を使用することが可能である。最も好ましくは、半導体デバイス10は、銅プラグ28を酸化から保護するためのキャップ層32も含む。キャップ層32は、NBLoKなどの窒化物であってよいが、キャップ層32には窒化シリコン、窒化タンタル、または窒化チタンなどの他の材料も使用することができる。
次に図2を参照すると、本発明の第2の実施形態が開示されている。半導体デバイス110は、ここでは半導体デバイス110が内部に形成されたビア36を有する不活性化層34を含む点を除き、半導体デバイス10(図1)とほぼ同様である。使用時には、パッケージに接合するための多量のはんだの受け取りに備えて、ボール制限冶金(図2では図示せず)が蒸着されることになる。不活性化層34は、ポリイミド、感光性ポリイミド、フッ素化ポリイミド、ベンゾシクロブテン(BCB)、ポリテトラフルオロエチレン(PTFE)、酸化シリコン、窒化酸化シリコン、または他の誘電材料から、製造することができる。
次に図9を参照すると、本発明の第3の実施形態が開示されている。半導体デバイス120は、半導体材料、トランジスタなどのライン・フィーチャのフロント・エンド、およびライン配線層のバック・エンドを含む、半導体基板12を備える。図9では、見やすいように最終配線層14のみが示されている。最終配線層14は、誘電材料16および金属配線ライン18を含む。半導体基板および最終配線層14の材料は、図1に示された第1の実施形態10に関連して論じられたものと同じである。第3の実施形態の半導体デバイス120は、図1に関連して前述した副層22、24、および26を有する絶縁層20も含むことができる。半導体デバイス120は、絶縁層20と接触するアルミニウム層50をさらに含むことができる。図9に示されるように、アルミニウム層50の一部は、絶縁層20の上部表面40上に存在するように延在することもできる。その後、半導体デバイス120は、好ましい障壁層30および銅プラグ28をさらに含む。銅プラグ28の厚さは、性能およびプロセス要件に基づいて加減することができる。次にオプションの、コバルト、コバルト/タングステン/リン、または他のコバルト合金のキャップ層52を、たとえばめっきによって銅プラグ28上に蒸着することができる。後続の処理では、銅プラグ28の表面を洗浄することができるため、結果としてオプションのキャップ層52が不要である場合、および蒸着不要である場合がある。前述のように、最終アルミニウム層に関連付けられたエレクトロマイグレーション問題が存在するが、本発明の発明的銅プラグ28は、半導体デバイス120のエレクトロマイグレーションを向上させる。
次に図10を参照すると、本発明の第4の実施形態が開示されている。半導体デバイス130は、ここでは半導体デバイス130が内部に形成されたビア36を有する不活性化層34を含む点を除き、半導体デバイス120(図9)とほぼ同様である。使用時には、パッケージに接合するための多量のはんだの受け取りに備えて、ボール制限冶金(図示せず)が蒸着されることになる。不活性化層は、図2の半導体デバイス110内の不活性化層34と同じ材料で生成可能である。
次に図3から図8に進むと、図1および図2の半導体デバイス10、110を形成するためのプロセスについて考察される。第1に図3を参照すると、半導体材料、トランジスタなどのライン・フィーチャのフロント・エンド、およびライン配線層のバック・エンドを含む、半導体基板12が示されている。図3では、見やすいように最終配線層14のみが示されている。最終配線層14は、誘電材料16および金属配線ライン18を含む。金属配線ライン18中の金属は、その所望の電気的特性により、好ましくは銅であるが、前述の導電材料のうちのいずれかとすることができる。半導体基板12の頂部上には、絶縁層20が形成されている。絶縁層20は、1つまたは複数の個々の副層を備えることができる。好ましい一実施形態では、絶縁層20は、NBLoK(窒素ドープ炭化シリコン)副層22、二酸化シリコン副層24、および窒化シリコン副層26を含む。所望であれば、窒化シリコンをNBLoK副層22の代用としてもよい。前述のように、絶縁層20には他の材料を使用することができる。他の好ましい実施形態では、副層22と24との間に追加の窒化物層(図示せず)が存在してもよい。副層22、24、26のそれぞれは、プラズマ化学気相蒸着法(plasma enhanced chemical vapordeposition)などの従来の方法によって蒸着可能である。例示の目的で、NBLoK副層22は1000オングストロームの厚さを有することが可能であり、二酸化シリコン副層24および窒化シリコン副層26は合わせて8500オングストロームの厚さを有することが可能であるが、これらに限定されるものではない。また例示の目的で、二酸化シリコン副層24の厚さは4500オングストローム、窒化シリコン副層26の厚さは4000オングストロームとすることが可能であるが、これらに限定されるものではない。
次に図4を参照すると、絶縁層20内に開口部38が形成されている。開口部38は、反応性イオン・エッチングなどの従来の方法によって形成可能である。図を見るとわかるように、開口部38の壁は、最も好ましくは垂直ではなく外側に傾斜している。開口部38の壁は、銅プラグ28の現在広まっている最良の適用例の場合、水平に対して45度から75度、最も好ましくは60度の角度αで傾斜しているものとする。開口部38の壁のこの傾斜角度αは、ビア開口部の壁が水平に対して傾斜している図9に関しても見られる。副層22を貫通するエッチングは、NBLoK層の場合、副層24、26を貫通するエッチングとは異なるエッチング・ステップを必要とする場合がある。こうした異なるエッチング・ステップは、CHF、CH、またはCHFなどのフッ化炭化水素化学を使用する、反応性イオン・エッチングによるものとすることができる。灰化または湿式洗浄などの、反応性イオン・エッチング後の洗浄ステップも望ましい場合がある。開口部38の形成後、銅配線18が露出される。銅配線18の酸化傾向により、本明細書で説明される処理中に、露出された銅配線18を非酸化性大気内に維持するステップを行うことができる。次の処理ステップの前に、いかなる残余酸化銅も除去されるものとする。
次に図5を参照すると、好ましい一実施形態では、開口部38の少なくとも側面および底面のラインが絶縁層20内に形成されるように、化学気相蒸着、物理気相蒸着、スパッタリング、またはめっきなどの、従来の手段によって、障壁層30が蒸着される。障壁層30は、前述の障壁層材料のいずれかとすることができる。例示の目的で、障壁層30は1000オングストロームの厚さを有することができるが、これに限定されるものではない。次に、障壁層30の側面および底面上に銅を蒸着することによって、銅プラグ28が形成される。開口部38を少なくとも埋め、好ましくは過充填するように、十分な銅が蒸着されるものとする。たとえば5000から10000オングストロームの過充填のような、銅の十分な過装入(overburden)が存在することが好ましい。例示の目的で、好ましい一実施形態では、銅プラグ28は8500オングストロームの厚さを有することができるが、これに限定されるものではない。銅プラグ28の8500オングストロームの厚さおよび障壁層30の1000オングストロームの厚さによって、9500オングストロームの総厚さが得られ、これは絶縁層20の総厚さに等しいことに留意されたい。他の好ましい実施形態では、障壁層は分注(dispense)が可能であり、銅プラグ28の厚さは、以前は障壁層30によって占有されていたスペースを塞ぐように増加されなければならないことになる。
様々な層およびフィーチャに関する前述の寸法は、単なる例示の目的であり、限定するためのものではない。現代および将来の半導体設計は、こうした設計の設計要件を満たすためにより薄くまたはより厚くするように、様々な層およびフィーチャの厚さを必要とする可能性がある。したがって、前述の様々な層およびフィーチャのこうしたより薄いかまたは厚い厚さは、本発明の範囲内にある。
銅プラグ28は、電気めっき、スパッタリング、またはめっきを含む、いくつかの方法のうちのいずれかによって蒸着可能である。障壁層30および銅プラグ28の材料は、ブランケット膜(blanket film)として蒸着される場合があるため、これらの同じ材料を絶縁層20の上部表面40から除去する必要がある。好ましい一方法では、過剰材料は化学的機械的研磨プロセスによって除去されるため、結果として障壁層30および銅プラグ28の材料は、図5に示されるように、絶縁層20内の以前の開口部38内にのみ残存する。
本発明の好ましい実施形態では、スルーめっき(through plating)を使用して銅プラグ28に銅を蒸着することができる。障壁層30の蒸着後、レジストが蒸着され、開口部38の上のレジスト内に開口部を形成するために、フォトリソグラフィ法でパターニングされることになる。その後、レジスト内の開口部を貫通して開口部38内へと銅がめっきされる。十分な銅が蒸着されるとレジストは取り除かれ、化学的機械的研磨プロセスによって、いかなる過剰材料も除去することができる。別の方法として、湿式および乾式の両方のエッチング・オプションを含む、選択的エッチング・プロセスを使用して、障壁の冶金に対してカスタマイズされた組成および除去されることになる充填材料と共に、フィールド領域内のいかなる過剰な銅も除去することができる。
本発明の代替方法では、障壁層30の材料を蒸着した後、化学的機械的研磨によって上部表面40から過剰部分が除去され、続いて銅プラグ28に対して銅が蒸着され、続いて他の化学的機械的研磨ステップが行われることが可能である。連続する化学的機械的研磨ステップの代替方法は、特別な化学的機械的研磨ステップが含まれている為、好ましくない。
次に図6を参照すると、本発明に従ったプロセスの好ましい実施形態が示されている。キャップ層42は、絶縁層20、障壁層30、および銅プラグ28を覆って、たとえばプラズマ化学気相蒸着法によって蒸着される。キャップ層42は、NBLoKまたは窒化シリコンなどの窒化物層であってよく、500オングストロームまたは500オングストローム未満の厚さに蒸着することができる。キャップ層42はオプションであるが、銅プラグ28内の銅の酸化を防止するためには好ましい。キャップ層42の指定された材料および厚さは例示の目的であり、限定的なものではない。
処理のこの時点で、図1に示されるような半導体デバイス10は完了する。
次に図7を参照すると、不活性化層34は、スピン印加法(spin apply method)などによって従来の方法で蒸着される。その後不活性化層34は、開口部36を形成するために、フォトリソグラフィ法でパターニングされ、反応性イオン・エッチングなどによってエッチングされる。
処理のこの時点で、図2に示されるような半導体デバイス110は完了する。
次に図8を参照すると、開口部38内のキャップ層42の一部が、反応性イオン・エッチングによってエッチ・オープンされ、次に、従来のボール制限冶金44が蒸着される。その後、半導体デバイス110をパッケージ(図示せず)に接合させるために、開口部38内のボール制限冶金44上に多量のはんだ(図示せず)が蒸着されることになる。
半導体デバイス120(図9)を生成するためのプロセスは、アルミニウム層50およびキャップ層52を加えることを除き、半導体デバイス10、110のプロセスと同様である。アルミニウムは、従来の手段によって蒸着およびパターニング可能である。所望の厚さまでスルーめっきすることによって、銅を蒸着することが最も好ましい。銅プラグ28は、必ずしもアルミニウム層50と同一面にはならない。銅プラグ28がキャップ層52を有する場合、キャップ層52は必ずしもアルミニウム層50と同一面にはならない。
半導体デバイス130(図10)を生成するためのプロセスは、前述のように不活性化層34が蒸着できることを除き、半導体デバイス120のプロセスと同様である。不活性化層34はリソグラフィ法でパターニングし、その後、所望であればボール制限冶金を蒸着することができる。
半導体デバイス110を製造するための前述のプロセスは、銅プラグ28が蒸着される前に不活性化層34を蒸着されるように修正することができる。この修正されたプロセスでは、開口部38を形成した後、不活性化層34が蒸着される。不活性化層34は、開口部36を形成し、開口部38からいかなる不活性化材料をも除去するように、フォトリソグラフィ法でパターニングすることができる。その後、障壁層30はブランケット蒸着によって蒸着され、次に、ボトムアップめっきプロセスを使用して、銅プラグ28のスルーめっきを行うことができる。その後、所望であればボール制限冶金を蒸着することができる。
不活性化層34を有する半導体デバイス130を製造するための前述のプロセスは、銅プラグ28が蒸着される前に不活性化層34が蒸着されるように修正することができる。この修正されたプロセスでは、絶縁層20内の開口部を形成した後、アルミニウム層50が形成され、その後、不活性化層34が蒸着される。不活性化層34は、開口部36を形成し、絶縁層20内の開口部からいかなる不活性化材料をも除去するように、フォトリソグラフィ法でパターニングすることができる。その後、障壁層30はブランケット蒸着によって蒸着され、次に、ボトムアップめっきプロセスを使用して、銅プラグ28のスルーめっきを行った後、キャップ層52を蒸着することができる。その後、所望であればボール制限冶金を蒸着することができる。
本開示を考慮する当業者であれば、本明細書で具体的に説明された諸実施形態を超える本発明の他の修正が、本発明の趣旨を逸脱することなく実行可能であることが明らかとなろう。したがってこうした修正は本発明の範囲内にあるものとみなされ、添付の特許請求の範囲によってのみ制限される。

Claims (8)

  1. 複数の配線層を有する半導体基板であって、最終配線層が導電材料を備える、半導体基板と、
    前記最終配線層上に形成された絶縁層であって、前記絶縁層が前記最終配線層内の前記導電材料を露出させるために内部に形成されたビア開口部を有する、絶縁層と、
    前記ビア開口部内に形成された障壁層と、
    前記障壁層上に形成され、前記ビア開口部を前記絶縁層の上面まで埋める銅プラグと、
    前記絶縁層上に形成され、前記銅プラグと位置合わせされ前記ビア開口部よりも大きな開口部を有する誘電層と、
    前記誘電層上および前記誘電層の前記開口部内に形成されたボール制限冶金層と、
    を備える、半導体デバイス。
  2. 前記障壁層が前記最終配線層内の前記導電材料と接触している、請求項1に記載の半導体デバイス。
  3. 前記銅プラグを覆って形成されたキャップ層をさらに備える、請求項1または2に記載の半導体デバイス。
  4. 前記キャップ層が窒化物層である、請求項3に記載の半導体デバイス。
  5. 前記障壁層が、タンタル/窒化タンタル、チタニウム、チタン・タングステン、窒化チタン、および窒化タングステンからなる族から選択される、請求項1〜のいずれか1項に記載の半導体デバイス。
  6. 前記銅プラグが、前記最終配線層に対して45度から75度の角度を成す壁を有する、請求項1〜のいずれか1項に記載の半導体デバイス。
  7. 前記絶縁層と前記障壁層との間の前記ビア開口部内にアルミニウム層をさらに備え、前記アルミニウム層が前記誘電層の前記開口部内の前記絶縁層を覆って延在する、請求項1〜のいずれか1項に記載の半導体デバイス。
  8. 前記絶縁層と前記誘電層との間に窒化物からなるキャップ層をさらに備える、請求項1〜のいずれか1項に記載の半導体デバイス。
JP2012532086A 2009-10-05 2010-08-23 銅プラグを有する半導体デバイスおよびデバイスを形成するための方法 Active JP5739434B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/573,183 US8610283B2 (en) 2009-10-05 2009-10-05 Semiconductor device having a copper plug
US12/573,183 2009-10-05
PCT/US2010/046268 WO2011043869A2 (en) 2009-10-05 2010-08-23 Semiconductor device having a copper plug

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2015039688A Division JP2015133509A (ja) 2009-10-05 2015-02-28 銅プラグを有する半導体デバイスとその形成方法

Publications (2)

Publication Number Publication Date
JP2013506999A JP2013506999A (ja) 2013-02-28
JP5739434B2 true JP5739434B2 (ja) 2015-06-24

Family

ID=43822573

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2012532086A Active JP5739434B2 (ja) 2009-10-05 2010-08-23 銅プラグを有する半導体デバイスおよびデバイスを形成するための方法
JP2015039688A Pending JP2015133509A (ja) 2009-10-05 2015-02-28 銅プラグを有する半導体デバイスとその形成方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2015039688A Pending JP2015133509A (ja) 2009-10-05 2015-02-28 銅プラグを有する半導体デバイスとその形成方法

Country Status (7)

Country Link
US (4) US8610283B2 (ja)
JP (2) JP5739434B2 (ja)
CN (1) CN102511078A (ja)
DE (1) DE112010003936B4 (ja)
GB (1) GB2486357B (ja)
TW (1) TWI473233B (ja)
WO (1) WO2011043869A2 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20220310544A1 (en) * 2020-06-30 2022-09-29 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device structure and methods of forming the same

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8450212B2 (en) * 2011-06-28 2013-05-28 International Business Machines Corporation Method of reducing critical dimension process bias differences between narrow and wide damascene wires
US8580672B2 (en) * 2011-10-25 2013-11-12 Globalfoundries Inc. Methods of forming bump structures that include a protection layer
KR101844058B1 (ko) 2012-02-01 2018-03-30 에스케이하이닉스 주식회사 복층 금속 콘택을 포함하는 반도체 소자
US8987134B2 (en) * 2012-06-06 2015-03-24 Globalfoundries Singapore Pte. Ltd. Reliable interconnect for semiconductor device
JP5673627B2 (ja) * 2012-08-03 2015-02-18 トヨタ自動車株式会社 半導体装置及びその製造方法
KR101992352B1 (ko) * 2012-09-25 2019-06-24 삼성전자주식회사 반도체 장치
US9425093B2 (en) * 2014-12-05 2016-08-23 Tokyo Electron Limited Copper wiring forming method, film forming system, and storage medium
US9793216B2 (en) * 2016-01-26 2017-10-17 Globalfoundries Inc. Fabrication of IC structure with metal plug
US9870987B2 (en) * 2016-02-29 2018-01-16 Toshiba Memory Corporation Semiconductor device and method of manufacturing the same
US9806018B1 (en) * 2016-06-20 2017-10-31 International Business Machines Corporation Copper interconnect structures
US9754905B1 (en) * 2016-10-13 2017-09-05 International Business Machines Corporation Final passivation for wafer level warpage and ULK stress reduction
US10170437B1 (en) * 2017-09-25 2019-01-01 Globalfoundries Singapore Pte. Ltd. Via disguise to protect the security product from delayering and graphic design system (GDS) hacking and method for producing the same
US10892290B2 (en) * 2018-03-27 2021-01-12 Omnivision Technologies, Inc. Interconnect layer contact and method for improved packaged integrated circuit reliability
US11075113B2 (en) * 2018-06-29 2021-07-27 Taiwan Semiconductor Manufacturing Co., Ltd. Metal capping layer and methods thereof

Family Cites Families (40)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0263220B1 (en) * 1986-10-08 1992-09-09 International Business Machines Corporation Method of forming a via-having a desired slope in a photoresist masked composite insulating layer
US5269880A (en) * 1992-04-03 1993-12-14 Northern Telecom Limited Tapering sidewalls of via holes
US5447887A (en) 1994-04-01 1995-09-05 Motorola, Inc. Method for capping copper in semiconductor devices
US5714418A (en) * 1995-11-08 1998-02-03 Intel Corporation Diffusion barrier for electrical interconnects in an integrated circuit
US5674787A (en) 1996-01-16 1997-10-07 Sematech, Inc. Selective electroless copper deposited interconnect plugs for ULSI applications
US5770517A (en) 1997-03-21 1998-06-23 Advanced Micro Devices, Inc. Semiconductor fabrication employing copper plug formation within a contact area
US6218302B1 (en) * 1998-07-21 2001-04-17 Motorola Inc. Method for forming a semiconductor device
US6214728B1 (en) 1998-11-20 2001-04-10 Chartered Semiconductor Manufacturing, Ltd. Method to encapsulate copper plug for interconnect metallization
US6143657A (en) 1999-01-04 2000-11-07 Taiwan Semiconductor Manufacturing Company Method of increasing the stability of a copper to copper interconnection process and structure manufactured thereby
TW426980B (en) * 1999-01-23 2001-03-21 Lucent Technologies Inc Wire bonding to copper
US6133136A (en) 1999-05-19 2000-10-17 International Business Machines Corporation Robust interconnect structure
US6740580B1 (en) * 1999-09-03 2004-05-25 Chartered Semiconductor Manufacturing Ltd. Method to form copper interconnects by adding an aluminum layer to the copper diffusion barrier
US6803302B2 (en) * 1999-11-22 2004-10-12 Freescale Semiconductor, Inc. Method for forming a semiconductor device having a mechanically robust pad interface
US6806578B2 (en) 2000-03-16 2004-10-19 International Business Machines Corporation Copper pad structure
US6492197B1 (en) * 2000-05-23 2002-12-10 Unitive Electronics Inc. Trilayer/bilayer solder bumps and fabrication methods therefor
US6376353B1 (en) 2000-07-03 2002-04-23 Chartered Semiconductor Manufacturing Ltd. Aluminum and copper bimetallic bond pad scheme for copper damascene interconnects
US6492270B1 (en) 2001-03-19 2002-12-10 Taiwan Semiconductor Manufacturing Company Method for forming copper dual damascene
US6620663B1 (en) 2001-05-18 2003-09-16 Episil Technologies, Inc. Self-aligned copper plating/CMP process for RF lateral MOS device
US6537913B2 (en) 2001-06-29 2003-03-25 Intel Corporation Method of making a semiconductor device with aluminum capped copper interconnect pads
JP2003031575A (ja) * 2001-07-17 2003-01-31 Nec Corp 半導体装置及びその製造方法
US6887790B1 (en) 2002-07-19 2005-05-03 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming dummy copper plug to improve low k structure mechanical strength and plug fill uniformity
US6939800B1 (en) 2002-12-16 2005-09-06 Lsi Logic Corporation Dielectric barrier films for use as copper barrier layers in semiconductor trench and via structures
JP4819501B2 (ja) * 2003-05-29 2011-11-24 日本電気株式会社 配線構造およびその製造方法
US6890851B2 (en) * 2003-05-29 2005-05-10 United Microelectronics Corp. Interconnection structure and fabrication method thereof
US6998335B2 (en) 2003-12-13 2006-02-14 Chartered Semiconductor Manufacturing, Ltd Structure and method for fabricating a bond pad structure
US7207096B2 (en) * 2004-01-22 2007-04-24 International Business Machines Corporation Method of manufacturing high performance copper inductors with bond pads
US20050189653A1 (en) * 2004-02-26 2005-09-01 Hun-Jan Tao Dual damascene intermediate structure and method of fabricating same
US7244674B2 (en) * 2004-04-27 2007-07-17 Agency For Science Technology And Research Process of forming a composite diffusion barrier in copper/organic low-k damascene technology
US7390739B2 (en) * 2005-05-18 2008-06-24 Lazovsky David E Formation of a masking layer on a dielectric region to facilitate formation of a capping layer on electrically conductive regions separated by the dielectric region
US7138717B2 (en) * 2004-12-01 2006-11-21 International Business Machines Corporation HDP-based ILD capping layer
US7422979B2 (en) * 2005-03-11 2008-09-09 Freescale Semiconductor, Inc. Method of forming a semiconductor device having a diffusion barrier stack and structure thereof
US7361993B2 (en) 2005-05-09 2008-04-22 International Business Machines Corporation Terminal pad structures and methods of fabricating same
JP4682964B2 (ja) * 2006-10-30 2011-05-11 株式会社デンソー 半導体装置およびその製造方法
US7485564B2 (en) * 2007-02-12 2009-02-03 International Business Machines Corporation Undercut-free BLM process for Pb-free and Pb-reduced C4
JP5211503B2 (ja) * 2007-02-16 2013-06-12 富士通セミコンダクター株式会社 半導体装置の製造方法
JP2007329508A (ja) * 2007-09-03 2007-12-20 Yamaha Corp 半導体装置
US7843063B2 (en) 2008-02-14 2010-11-30 International Business Machines Corporation Microstructure modification in copper interconnect structure
JP5007250B2 (ja) * 2008-02-14 2012-08-22 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US8022543B2 (en) 2008-03-25 2011-09-20 International Business Machines Corporation Underbump metallurgy for enhanced electromigration resistance
US7871919B2 (en) * 2008-12-29 2011-01-18 International Business Machines Corporation Structures and methods for improving solder bump connections in semiconductor devices

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20220310544A1 (en) * 2020-06-30 2022-09-29 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device structure and methods of forming the same
US11756913B2 (en) * 2020-06-30 2023-09-12 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device structure and methods of forming the same
US20230378115A1 (en) * 2020-06-30 2023-11-23 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device structure and methods of forming the same

Also Published As

Publication number Publication date
US20130157458A1 (en) 2013-06-20
GB201202913D0 (en) 2012-04-04
TWI473233B (zh) 2015-02-11
US8610283B2 (en) 2013-12-17
JP2015133509A (ja) 2015-07-23
GB2486357B (en) 2015-05-27
JP2013506999A (ja) 2013-02-28
US8741769B2 (en) 2014-06-03
DE112010003936T5 (de) 2012-08-16
US8922019B2 (en) 2014-12-30
WO2011043869A3 (en) 2011-06-03
WO2011043869A2 (en) 2011-04-14
TW201130100A (en) 2011-09-01
GB2486357A (en) 2012-06-13
US20110079907A1 (en) 2011-04-07
US8749059B2 (en) 2014-06-10
US20140054778A1 (en) 2014-02-27
CN102511078A (zh) 2012-06-20
DE112010003936B4 (de) 2021-01-14
US20120168952A1 (en) 2012-07-05

Similar Documents

Publication Publication Date Title
JP5739434B2 (ja) 銅プラグを有する半導体デバイスおよびデバイスを形成するための方法
US9287166B2 (en) Barrier for through-silicon via
TWI569313B (zh) 半導體裝置之製造方法
US7538434B2 (en) Copper interconnection with conductive polymer layer and method of forming the same
JP5089575B2 (ja) 相互接続構造体及びその製造方法
US6509267B1 (en) Method of forming low resistance barrier on low k interconnect with electrolessly plated copper seed layer
JP5255292B2 (ja) 2層金属キャップを有する相互接続構造体及びその製造方法
US7763954B2 (en) Post last wiring level inductor using patterned plate process
TWI491006B (zh) 半導體裝置及其製造方法
US8319343B2 (en) Routing under bond pad for the replacement of an interconnect layer
US9490205B2 (en) Integrated circuit interconnects and methods of making same
US8354751B2 (en) Interconnect structure for electromigration enhancement
US10629478B2 (en) Dual-damascene formation with dielectric spacer and thin liner
CN113206036A (zh) 半导体结构
KR102292360B1 (ko) 반도체 디바이스의 상호접속 구조체 내의 기능 콤포넌트 및 그 형성 방법
US20070023868A1 (en) Method of forming copper metal line and semiconductor device including the same
US6849541B1 (en) Method of fabricating a dual damascene copper wire
US7538024B2 (en) Method of fabricating a dual-damascene copper structure
US8697565B2 (en) Shallow via formation by oxidation
US20170148735A1 (en) Interconnect Structure for Semiconductor Devices
US7662711B2 (en) Method of forming dual damascene pattern
JP7498782B2 (ja) バックエンドオブライン用途のためのルテニウムライナおよびキャップ
US20090057271A1 (en) Manufacturing method of metal interconnection

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20130507

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20140313

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20140401

RD12 Notification of acceptance of power of sub attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7432

Effective date: 20140616

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20140628

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20140616

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20150127

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20150228

TRDD Decision of grant or rejection written
RD14 Notification of resignation of power of sub attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7434

Effective date: 20150331

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20150331

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20150423

R150 Certificate of patent or registration of utility model

Ref document number: 5739434

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313113

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313113

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250