TWI630691B - 封裝結構及形成方法 - Google Patents

封裝結構及形成方法 Download PDF

Info

Publication number
TWI630691B
TWI630691B TW103145157A TW103145157A TWI630691B TW I630691 B TWI630691 B TW I630691B TW 103145157 A TW103145157 A TW 103145157A TW 103145157 A TW103145157 A TW 103145157A TW I630691 B TWI630691 B TW I630691B
Authority
TW
Taiwan
Prior art keywords
conductive member
conductive
semiconductor substrate
layer
under bump
Prior art date
Application number
TW103145157A
Other languages
English (en)
Other versions
TW201539684A (zh
Inventor
李立國
劉永盛
劉宜臻
賴怡仁
陳俊仁
鄭錫圭
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW201539684A publication Critical patent/TW201539684A/zh
Application granted granted Critical
Publication of TWI630691B publication Critical patent/TWI630691B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • H01L21/563Encapsulation of active face of flip-chip device, e.g. underfilling or underencapsulation of flip-chip, encapsulation preform on chip or mounting substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L24/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L24/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/03Manufacturing methods
    • H01L2224/034Manufacturing methods by blanket deposition of the material of the bonding area
    • H01L2224/03444Manufacturing methods by blanket deposition of the material of the bonding area in gaseous form
    • H01L2224/0345Physical vapour deposition [PVD], e.g. evaporation, or sputtering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/03Manufacturing methods
    • H01L2224/034Manufacturing methods by blanket deposition of the material of the bonding area
    • H01L2224/03444Manufacturing methods by blanket deposition of the material of the bonding area in gaseous form
    • H01L2224/03452Chemical vapour deposition [CVD], e.g. laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/03Manufacturing methods
    • H01L2224/036Manufacturing methods by patterning a pre-deposited material
    • H01L2224/03618Manufacturing methods by patterning a pre-deposited material with selective exposure, development and removal of a photosensitive material, e.g. of a photosensitive conductive resin
    • H01L2224/0362Photolithography
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/03Manufacturing methods
    • H01L2224/039Methods of manufacturing bonding areas involving a specific sequence of method steps
    • H01L2224/03912Methods of manufacturing bonding areas involving a specific sequence of method steps the bump being used as a mask for patterning the bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/0401Bonding areas specifically adapted for bump connectors, e.g. under bump metallisation [UBM]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/0501Shape
    • H01L2224/05016Shape in side view
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/0502Disposition
    • H01L2224/05023Disposition the whole internal layer protruding from the surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05117Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C
    • H01L2224/05124Aluminium [Al] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05147Copper [Cu] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05155Nickel [Ni] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05163Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/05166Titanium [Ti] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0555Shape
    • H01L2224/05556Shape in side view
    • H01L2224/05558Shape in side view conformal layer on a patterned surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0556Disposition
    • H01L2224/05562On the entire exposed surface of the internal layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0556Disposition
    • H01L2224/05563Only on parts of the surface of the internal layer
    • H01L2224/05564Only on the bonding interface of the bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0556Disposition
    • H01L2224/05568Disposition the whole external layer protruding from the surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05575Plural external layers
    • H01L2224/0558Plural external layers being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05638Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05655Nickel [Ni] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05663Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/05666Titanium [Ti] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/11Manufacturing methods
    • H01L2224/114Manufacturing methods by blanket deposition of the material of the bump connector
    • H01L2224/11444Manufacturing methods by blanket deposition of the material of the bump connector in gaseous form
    • H01L2224/1145Physical vapour deposition [PVD], e.g. evaporation, or sputtering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/11Manufacturing methods
    • H01L2224/114Manufacturing methods by blanket deposition of the material of the bump connector
    • H01L2224/11444Manufacturing methods by blanket deposition of the material of the bump connector in gaseous form
    • H01L2224/11452Chemical vapour deposition [CVD], e.g. laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/11Manufacturing methods
    • H01L2224/114Manufacturing methods by blanket deposition of the material of the bump connector
    • H01L2224/1146Plating
    • H01L2224/11462Electroplating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/11Manufacturing methods
    • H01L2224/1147Manufacturing methods using a lift-off mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/11Manufacturing methods
    • H01L2224/118Post-treatment of the bump connector
    • H01L2224/11848Thermal treatments, e.g. annealing, controlled cooling
    • H01L2224/11849Reflowing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13005Structure
    • H01L2224/13006Bump connector larger than the underlying bonding area, e.g. than the under bump metallisation [UBM]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13101Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of less than 400°C
    • H01L2224/13111Tin [Sn] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13117Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C
    • H01L2224/13124Aluminium [Al] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/13144Gold [Au] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/13147Copper [Cu] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/13155Nickel [Ni] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13163Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/13166Titanium [Ti] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13163Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/13169Platinum [Pt] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/1605Shape
    • H01L2224/1607Shape of bonding interfaces, e.g. interlocking features
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16221Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/16225Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • H01L2224/16238Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation the bump connector connecting to a bonding area protruding from the surface of the item
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73201Location after the connecting process on the same surface
    • H01L2224/73203Bump and layer connectors
    • H01L2224/73204Bump and layer connectors the bump connector being embedded into the layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/8119Arrangement of the bump connectors prior to mounting
    • H01L2224/81191Arrangement of the bump connectors prior to mounting wherein the bump connectors are disposed only on the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/812Applying energy for connecting
    • H01L2224/81201Compression bonding
    • H01L2224/81203Thermocompression bonding, e.g. diffusion bonding, pressure joining, thermocompression welding or solid-state welding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/818Bonding techniques
    • H01L2224/81801Soldering or alloying
    • H01L2224/81815Reflow soldering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/91Methods for connecting semiconductor or solid state bodies including different methods provided for in two or more of groups H01L2224/80 - H01L2224/90
    • H01L2224/92Specific sequence of method steps
    • H01L2224/921Connecting a surface with connectors of different types
    • H01L2224/9212Sequential connecting processes
    • H01L2224/92122Sequential connecting processes the first connecting process involving a bump connector
    • H01L2224/92125Sequential connecting processes the first connecting process involving a bump connector the second connecting process involving a layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/03Manufacturing methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/11Manufacturing methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L24/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L24/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/13Discrete devices, e.g. 3 terminal devices
    • H01L2924/1304Transistor
    • H01L2924/1306Field-effect transistor [FET]
    • H01L2924/13091Metal-Oxide-Semiconductor Field-Effect Transistor [MOSFET]

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

提供了封裝結構及製作方法。封裝結構包括半導體基底及半導體基底上之第一導電構件。封裝結構還包括基底及基底上之第二導電構件。第二導電構件透過接合結構而與第一導電構件接合。封裝結構更包括圍繞接合結構之保護材料,且保護材料直接接觸第一導電構件之側表面。

Description

封裝結構及形成方法
本揭露書係有關於封裝結構及其形成方法,且特別是有關於具有兩接合基底之封裝結構。
半導體元件用於多種電子應用,例如個人電腦、手機、數位相機、及其他電子設備。半導體元件的製作涉及於半導體基底上相繼沉積絕緣或介電層、導電層、及半導體層,及使用微影及蝕刻製程將各種材料層圖案化,而於半導體基底上形成電路元件及構件。
藉著最小特徵尺寸的持續縮小,半導體工業持續增進各種電子構件(例如,電晶體、二極體、電阻元件、電容元件等)的整合密度,其使得更多的元件能夠整合進給定之區域中。輸入及輸出(I/O)的連接數目顯著地增加。發展了更小的封裝結構(其使用較小區域或較低的高度)來封裝半導體元件。
已發展新穎的封裝技術來增進半導體元件的密度及功能。半導體元件之相對新穎封裝技術面臨著製作上之挑戰。
本揭露書提供一種封裝結構,包括:一半導體基底;一第一導電構件,於該半導體基底之上;一基底;一第二導電構件,於該基底上,其中該第二導電構件透過一接合結構 而與該第一導電構件接合;以及一保護材料,圍繞該接合結構,其中該保護材料直接接觸該第一導電構件之一側表面。
本揭露書提供一種封裝結構,包括:一半導體基底;一第一導電構件,於該半導體基底之上,其中無鈍化層於該第一導電構件上;一基底;以及一第二導電構件,於該基底上,其中該第二導電構件透過一接合結構而與該第一導電構件接合。
本揭露書提供一種封裝結構的形成方法,包括:於一半導體基底上形成一第一導電構件;於一基底上形成一第二導電構件;以及透過一接合結構而將該第一導電構件與該第二導電構件接合,其中在形成該接合結構之前,無鈍化層形成於該第一導電構件上。
100‧‧‧半導體基底
102‧‧‧介電層
104‧‧‧導電構件
105‧‧‧側表面
106‧‧‧凸塊下金屬化層
106a‧‧‧凸塊下金屬化構件
108‧‧‧遮罩層
110‧‧‧開口
112‧‧‧導電柱
114‧‧‧焊料層
114a‧‧‧焊料蓋
114b‧‧‧焊料凸塊
115‧‧‧接合結構
116‧‧‧基底
118‧‧‧導電構件
120‧‧‧保護材料
214b‧‧‧焊料凸塊
215‧‧‧接合結構
304‧‧‧導電構件
305‧‧‧側表面
306a‧‧‧凸塊下金屬化構件
312‧‧‧導電柱
314b‧‧‧焊料凸塊
315‧‧‧接合結構
W1、W1’、W2、W2’、W3‧‧‧寬度
第1A-1I圖顯示根據一些實施例之封裝結構的製程剖面圖。
第2圖顯示根據一些實施例之封裝結構的剖面圖。
第3圖顯示根據一些實施例之封裝結構的剖面圖。
以下的揭露內容提供許多不同的實施例或範例,以實施本案的不同特徵。而本揭露書以下的揭露內容是敘述各個構件及其排列方式的特定範例,以求簡化說明。當然,這些特定的範例並非用以限定。例如,若是本揭露書以下的內容敘述了將一第一特徵形成於一第二特徵之上或上方,即表示其包 含了所形成的上述第一特徵與上述第二特徵是直接接觸的實施例,亦包含了尚可將附加的特徵形成於上述第一特徵與上述第二特徵之間,而使上述第一特徵與上述第二特徵可能未直接接觸的實施例。再者,在以下敘述提及在第二製程前進行第一製程,可包括第二製程於第一製程之後立刻進行之實施例,且亦可包括附加製程於第一製程與第二製程之間進行的實施例。另外,本揭露書中不同範例可能使用重複的參考符號及/或標記。這些重複係為了簡化與清晰的目的,並非用以限定各個實施例及/或所述外觀結構之間的關係。
再者,為了方便描述圖式中一元件或特徵部件與另一(複數)元件或(複數)特徵部件的關係,可使用空間相關用語,例如“在...之下”、“下方”、“較下部”、“上方”、“較上部”及類似的用語等。除了圖式所繪示的方位之外,空間相關用語用以涵蓋使用或操作中的裝置的不同方位。所述裝置也可被另外定位(例如,旋轉90度或者位於其他方位),並對應地解讀所使用的空間相關用語的描述。
第1A-1I圖顯示根據一些實施例之封裝結構的製程剖面圖。請參照第1A圖,提供半導體基底100。在一些實施例中,半導體基底100為半導體晶圓(例如,矽晶圓)或半導體晶圓之一部分。在一些實施例中,半導體基底100包括元素半導體,其包括單晶、多晶、或非晶結構之矽或鍺。在一些其他實施例中,半導體基底100包括化合物半導體,例如碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦、合金半導體(例如,SiGe、GaAsP、AlInAs、AlGaAs、GaInAs、GaInP、GaInAsP)、或前述之組合。 在一些實施例中,半導體基底100包括多層半導體、絕緣層上覆半導體(SOI)(例如,絕緣層上覆矽或絕緣層上覆鍺)、或前述之組合。
在一些實施例中,於半導體基底100中形成隔離結構(未顯示)。隔離結構可定義並隔離形成於半導體基底100中的各種元件。隔離結構包括淺溝槽隔離(shallow trench isolation,STI)結構、局部矽氧化(local oxidation of silicon,LOCOS)結構、其他適合的隔離結構、或前述之組合。
可形成於半導體基底100中之各種元件例如包括電晶體(例如,金氧半場效電晶體(MOSFET)、互補式金氧半場效電晶體(CMOS)、雙極性接面電晶體(BJT)、高電壓電晶體、高頻率電晶體、P通道及/或N通道場效電晶體(PFETs/NFETs)等等)、二極體、其他可應用元件、或前述之組合。
如第1A圖所示,根據一些實施例,於半導體基底100上形成介電層102。在一些實施例中,許多導電構件(conductive features)係形成於介電層102中。在一些實施例中,導電構件包括多個水平內連線,(例如導線)及多個垂直內連線(例如,導電介層物(conductive vias)或導電接觸(conductive contacts))。在一些實施例中,介電層102為多層介電層之堆疊。形成於介電層102中之導電構件形成了形成在半導體基底100中或上之元件構件(device elements)(未顯示)與介電層102上之導電線路之間的電性連接。元件構件可為形成於半導體基底100中或上之摻雜區。或者,元件構件可為形成於半導體基底100上或中之閘極電極。可於介電層中形成多個導電線路及導 電介層物以形成連至元件構件之導電路徑。介電層102及導電構件可共同形成半導體基底100上之內連線結構。
如第1A圖所示,根據一些實施例,於半導體基底100上之介電層102上形成導電構件(conductive feature)104。在一些實施例中,導電構件104包括導電線路(conductive trace)及導電墊(conductive pad),它們彼此電性連接。在一些實施例中,導電構件104亦指頂金屬層(top metal)。在一些實施例中,導電構件104透過介電層102中之一些導電構件而電性連接至半導體基底100中之其中一元件構件。在一些實施例中,第1A圖顯示導電構件104之導電墊的剖面圖。在一些其他實施例中,第1A圖顯示導電構件104之導電線路的剖面圖。
在一些實施例中,導電構件104係由AlCu、Al、Cu、其他適合的材料、或前述之組合所製成。在一些實施例中,導電構件104係藉由將沉積在介電層102上之導電層圖案化而形成。例如,使用微影製程及蝕刻製程將導電層圖案化,形成出數個導電構件,其包含導電構件104。
在一些實施例中,介電層102係由氧化矽、氮化矽、氮氧化矽、四乙基矽酸鹽氧化物(TEOS oxide)、磷矽酸鹽玻璃(phosphosilicate glass,PSG)、硼磷矽酸鹽玻璃(borophosphosilicate glass,BPSG)、氟化矽氧玻璃(fluorinated silica glass,FSG)、碳摻雜氧化矽、非晶氟化碳、低介電常數材料、其他適合的材料、或前述之組合所製成。在一些實施例中,形成在介電層102中之導電構件由銅、鋁、鎢、鈦、鎳、金、鉑、其他適合的材料、或前述之組合所製成。可進行數道 的沉積、微影、及蝕刻製程以形成介電層102及其中之導電構件。
如第1A圖所示,根據一些實施例,無鈍化層(passivation layer)(例如,氮化矽層、氧化矽層、氮氧化矽層、或其相似物)形成於導電構件104之上。即,在一些實施例中,不形成鈍化層來覆蓋介電層102及導電構件104。在一些實施例中,不需要形成鈍化層所需之沉積及圖案化製程。因此,減少了製作成本與製作時間。
如第1B圖所示,根據一些實施例,於介電層102及導電構件104上沉積凸塊下金屬化(under-bump metallization,UBM)層106。在一些實施例中,凸塊下金屬化層106為單一材料層或多層材料層之堆疊。例如,凸塊下金屬化層106可由Ti、TiW、TiCu、Ni、其他適合的材料、或前述之組合所製成。在一些實施例中,凸塊下金屬化層106包括子材料層(sub-layers),其例如包括擴散阻障層(diffusion barrier layer)及晶種層(seed layer)。擴散阻障層可由氮化鉭所形成,雖然其亦可由其他材料所形成,例如氮化鈦、鉭、鈦、或其相似物。晶種層可為形成在擴散阻障層上之銅晶種層。晶種層可由銅或許多銅合金之其中之一所形成,銅合金包括銀、鉻、鎳、錫、金、或前述之組合。在一些實施例中,凸塊下金屬化層106係使用物理氣相沉積(physical vapor deposition,PVD)製程、化學氣相沉積(chemical vapor deposition,CVD)製程、電鍍製程、旋塗(spin-on)製程、其他可應用製程、或前述之組合而沉積。
如第1C圖所示,根據一些實施例,於凸塊下金屬 化層106上形成遮罩層108。遮罩層108具有一或更多的開口,其包括開口110。在一些實施例中,開口110暴露導電構件104上之一部分的凸塊下金屬化層106。在一些實施例中,遮罩層108為光阻層。在一些實施例中,遮罩層108可使用微影製程而形成,其涉及一或更多的光罩覆蓋、曝光、烘烤、顯影、及潤濕製程(非需依此順序)。
如第1D圖所示,根據一些實施例,在遮罩層108之開口110所暴露之凸塊下金屬化層106上沉積導電柱(conductive pillar)112。在一些實施例中,導電柱112係由銅(Cu)、金(Au)、鉑(Pt)、鈦(Ti)、鎳(Ni)、鋁(Al)、其他適合的材料、或前述之組合所製成。在一些實施例中,導電柱112係藉著使用電鍍製程、物理氣相沉積製程、化學氣相沉積製程、其他可應用製程、或前述之組合而形成。在一些實施例中,凸塊下金屬化層106亦可用做電鍍晶種層。將適合的導電材料(例如,銅)電鍍在凸塊下金屬化層106上以形成導電柱112。
如第1D圖所示,根據一些實施例,於導電柱112上形成焊料層(solder layer)114。焊料層114包括錫(Sn)且可包含鉛或可不包含鉛(lead free)。在一些實施例中,焊料層114包括錫(Sn)及其他材料,包括鉛(Pb)、銀(Ag)、鉍(Bi)、銅(Cu)、金(Au)、鋁(Al)、砷(As)、鐵(Fe)、鎳(Ni)、銻(Sb)、其他適合的材料、或前述之組合。在一些實施例中,焊料層114係使用電鍍製程或其他可應用製程而形成。在一些實施例中,亦於焊料層114上形成助焊劑材料(flux material)(未顯示)。在一些實施例中,助焊劑材料係混合在焊料層114之中。
之後,如第1E圖所示,根據一些實施例,移除遮罩層108。在一些實施例中,使用剝除製程(stripping process)、灰化製程(ashing process)、其他可應用製程、或前述之組合來移除遮罩層108。
如第1F圖所示,根據一些實施例,將凸塊下金屬化層106圖案化以形成在半導體基底100上之一或更多的凸塊下金屬化構件(UBM elements)。在一些實施例中,凸塊下金屬化構件106a係形成在導電構件104上,如第1F圖所示。在一些實施例中,使用蝕刻製程將凸塊下金屬化層106圖案化。蝕刻製程可包括乾式蝕刻製程、濕式蝕刻製程、或前述之組合。例如,焊料層114及導電柱112可共同用作蝕刻遮罩。在蝕刻製程之後,移除了未被蝕刻遮罩所覆蓋的凸塊下金屬化層106,因而形成一或更多的凸塊下金屬化構件,其包括凸塊下金屬化構件106a。
如第1G圖所示,根據一些實施例,回焊焊料層114以於導電柱112上形成焊料蓋(solder cap)114a。在一些實施例中,在回焊製程之前,於焊料層114上形成助焊劑(未顯示)。在一些實施例中,焊料層係回焊於介於約180℃至約280℃之回焊溫度。在一些其他實施例中,回焊溫度為約220℃至約260℃。
如第1H圖所示,根據一些實施例,提供基底116。在一些實施例中,基底116為有機基底(organic substrate)。在一些其他實施例中,基底116為多材料層電路板(multi-layer circuit board)。在一些實施例中,基底116包括雙馬來醯亞胺-三氮雜苯樹脂(bismaleimide triazine(BT)resin)、FR-4(由帶有 環氧樹脂黏結劑且具有耐火性之編織玻璃纖維布所製成的一種複合材料)、陶瓷、玻璃、塑膠、膠帶、薄膜、或其他適合的支撐材料。在一些其他實施例中,基底116由半導體材料所製成。基底116可由半導體晶圓或一部分的晶圓所製成。在一些其他實施例中,基底116為印刷電路板。在一些實施例中,基底116包括矽、砷化鎵、絕緣層上覆矽(silicon on insulator,SOI)、或其他相似材料。在一些實施例中,基底116還包括被動元件(例如,電阻元件、電容元件、電感元件、及其相似物)或主動元件(例如,電晶體)。在一些實施例中,基底116包括附加的積體電路。基底116可更包括穿基底導電介層物(through substrate vias,TSVs),而可用作轉接板(interposer)。
在一些實施例中,於基底116上形成一或更多的導電構件,其包括導電構件118,如第1H圖所示。在一些實施例中,導電構件118為導電線路。在一些實施例中,導電構件118係由銅、鋁、金、鉑、鈦、鎳、其他適合的材料、或前述之組合所製成。在一些實施例中,沉積導電層並將之圖案化以形成導電構件118。
如第1H圖所示,根據一些實施例,將顯示於第1G圖之結構與基底116接合以形成封裝結構。在一些實施例中,焊料蓋114a用以與導電構件118接合。因此,於導電柱112與導電構件118之間形成了焊料凸塊114b。在一些實施例中,凸塊下金屬化構件106a、導電柱112、及焊料凸塊114b共同形成接合結構115。半導體基底100與基底116透過接合結構115而彼此接合。在一些實施例中,凸塊下金屬化構件106a與焊料凸塊 114b夾置(sandwich)導電柱112。
在一些實施例中,焊料蓋114a與導電構件118直接接合。在一些其他實施例中,在接合前,於導電構件118上先形成焊料材料(solder material)及/或助焊劑(flux)(未顯示)。在一些實施例中,使用熱壓製程、熱回焊製程、其他可應用製程、或前述之組合而將導電柱112與導電構件118接合在一起。
如第1I圖所示,根據一些實施例,於半導體基底100與基底116之間形成保護材料120。在一些實施例中,保護材料120圍繞接合結構115。保護材料120可用以保護半導體基底100與基底116之間的接合結構115。在一些實施例中,保護材料120完全填充半導體基底100與基底116之間的空間。本揭露書具有許多變化。在一些其他實施例中,未形成保護材料120。
如第1I圖所示,根據一些實施例,保護材料120圍繞導電構件104、接合結構115、及導電構件118。在一些實施例中,保護材料120直接接觸導電構件104、接合結構115、及導電構件118。如第1I圖所示,導電構件104具有側表面105。在一些實施例中,保護材料120直接接觸導電構件104之側表面105。
在一些實施例中,保護材料120包括樹脂材料,例如環氧樹脂(epoxy resin)、酚樹脂(phenol resin)、其他適合的材料、或前述之組合。在一些實施例中,保護材料120包括底膠材料(underfill material)、非導電膠(non-conductive paste,NCP)、或其相似物。在一些實施例中,保護材料120係分配、流進、及/或塗佈於半導體基底100與基底116之間。在一些實 施例中,無鈍化層形成於導電構件104與保護材料120之間。在一些實施例中,無任何的氮化矽層、氧化矽層、或氮氧化矽層形成於導電構件104與保護材料120之間。
如第1I圖所示,導電構件104具有寬度W1、導電柱112具有寬度W2、而導電構件118具有寬度W3。在一些實施例中,寬度W1大於寬度W2。導電構件104寬於導電柱112。本揭露書之實施例不限於此。在一些其他實施例中,導電柱112寬於導電構件104。在一些實施例中,寬度W1與寬度W2之間的差異不太大,以確保無高應力形成於導電構件104與導電柱112之間。在一些實施例中,導電構件104與導電柱112之間的寬度比值(W1/W2)為約0.5至約2。在一些實施例中,寬度W3為約15μm至約40μm。在一些實施例中,寬度W2與寬度W3之間的差異不太大,以確保在接合製程期間及/或之後,無高應力形成於導電構件118與接合結構115之間。在一些實施例中,導電柱112與導電構件118之間的寬度比值(W2/W3)為約0.5至約2。在一些實施例中,導電柱112寬於導電構件118。寬度比值(W2/W3)為約1.01至約2。
在一些實施例中,在形成接合結構115之前,不於半導體基底100上形成鈍化層來覆蓋導電構件104。不需形成鈍化層之沉積與圖案化製程。因此,減少了製作成本與製作時間。
本揭露書實施例具有許多變化。第2圖顯示根據一些實施例之封裝結構的剖面圖。顯示於第2圖之封裝結構類似於顯示於第1I圖之封裝結構。主要的區別在於形成了焊料凸塊 214b以覆蓋導電構件118。形成出了包括凸塊下金屬化構件106a、導電柱112、及焊料凸塊214b之接合結構215。
本揭露書實施例具有許多變化。例如,凸塊下金屬化構件不限於短於其下之導電構件。在一些實施例中,凸塊下金屬化構件寬於其下之導電構件。
第3圖顯示根據一些實施例之封裝結構的剖面圖。如第3圖所示,形成了凸塊下金屬化構件306a,其寬於導電構件304。在一些實施例中,凸塊下金屬化構件306a延伸超出導電構件304。在一些實施例中,凸塊下金屬化構件306a覆蓋導電構件304之側表面305。在一些實施例中,凸塊下金屬化構件306a進一步延伸至導電層102之上。由於凸塊下金屬化構件306a寬於導電構件304,形成於凸塊下金屬化構件306a上之導電柱312亦寬於導電構件304。如第3圖所示,導電柱312之寬度W2’大於導電構件304之寬度W1’。
在一些實施例中,凸塊下金屬化構件306a及導電柱312之材質及製作方法相似於顯示於第1圖中之凸塊下金屬化構件106a及導電柱112之材質及製作方法。例如,藉由調整第1C圖所示開口110的尺寸及/或形狀,可形成具有不同尺寸及/或形狀之凸塊下金屬化構件及導電柱。
如第3圖所示,根據一些實施例,形成焊料凸塊314b以將導電柱312與導電構件118接合。在一些實施例中,焊料凸塊314b之材質與製作方法相似於顯示於第1圖或第2圖中之焊料凸塊114b或214b之材質與製作方法。如第3圖所示,形成了接合結構315(其包括凸塊下金屬化構件306a、導電柱312、 及焊料凸塊314b)以將半導體基底100與基底116接合在一起。
在一些實施例中,保護材料120不直接接觸導電構件304,如第3圖所示。在一些實施例中,一或更多的中間層係形成於導電構件304與保護材料120之間。例如,凸塊下金屬化構件306a介於導電構件304與保護材料120之間。
本揭露書實施例提供封裝結構及封裝結構的形成方法。封裝結構包括兩接合基底,其透過接合結構而彼此接合。接合結構將兩基底上之導電構件(例如,導電墊)連接在一起。無鈍化層形成於導電構件之上。因此,顯著地縮減了製作時間與製作成本。
根據一些實施例,提供了一種封裝結構。封裝結構包括半導體基底及半導體基底上之第一導電構件。封裝結構還包括基底及基底上之第二導電構件。第二導電構件透過接合結構而與第一導電構件接合。封裝結構更包括圍繞接合結構之保護材料,且保護材料直接接觸第一導電構件之側表面。
根據一些實施例,提供了一種封裝結構。封裝結構包括半導體基底及半導體基底上之第一導電構件。無鈍化層於第一導電構件上。封裝結構還包括基底及基底上之第二導電構件。第二導電構件透過接合結構而與第一導電構件接合。
根據一些實施例,提供了一種封裝結構的形成方法。方法包括於半導體基底上形成第一導電構件。方法還包括於基底上形成第二導電構件。方法更包括透過接合結構而將第一導電構件與第二導電構件接合。在形成接合結構之前,無鈍化層形成於第一導電構件上。
以上概略說明了數個實施例的特徵,使所屬技術領域人士對於本揭露書的各種面向可更為容易理解。所屬技術領域人士應瞭解到,本揭露書可輕易作為其它製程或結構的設計或變化的基礎,以進行相同於所述實施例的目的及/或獲得相同的優點。所屬技術領域人士也可理解與上述等同的結構或製程並未脫離本揭露書之精神和保護範圍內,且在不脫離本揭露書之精神和範圍內,可作各種改變、置換、與變化。

Claims (9)

  1. 一種封裝結構,包括:一半導體基底;一介電層,於該半導體基底上;一第一導電構件,於該半導體基底之上,其中無鈍化層於該第一導電構件和該半導體基底上;一基底;一第二導電構件,於該基底上,其中該第二導電構件透過一接合結構而與該第一導電構件接合,且該接合結構包括:一凸塊下金屬化構件,該凸塊下金屬化構件具有一階梯部分直接接觸該第一導電構件之一側面;以及一導電柱,覆蓋該凸塊下金屬化構件,其中該凸塊下金屬化構件的該階梯部分具有一水平部將該導電柱與該介電層隔開;以及一保護材料,圍繞該接合結構,其中該保護材料直接接觸該凸塊下金屬化構件之一側面。
  2. 如申請專利範圍第1項所述之封裝結構,其中該保護材料直接接觸該接合結構,且該第一導電構件之該側面不與該凸塊下金屬化構件之該側面共平面。
  3. 如申請專利範圍第1項所述之封裝結構,其中該第一導電構件窄於該導電柱。
  4. 如申請專利範圍第1項所述之封裝結構,其中該接合結構更包括夾置該導電柱之該凸塊下金屬化構件及一焊料凸塊。
  5. 如申請專利範圍第1項所述之封裝結構,其中該第一導電構件與該保護材料之間不具有鈍化層。
  6. 如申請專利範圍第1項所述之封裝結構,其中該保護材料與該第一導電構件之間不具有任何的氮化矽層、氧化矽層、或氮氧化矽層。
  7. 一種封裝結構,包括:一半導體基底;一介電層,於該半導體基底上一第一導電構件,於該半導體基底之上,其中無鈍化層於該第一導電構件和該半導體基底上;一基底;以及一第二導電構件,於該基底上,其中該第二導電構件透過一接合結構而與該第一導電構件接合,且該接合結構包括:一凸塊下金屬化構件,該凸塊下金屬化構件具有一階梯部分直接接觸該第一導電構件之一側面;以及一導電柱,覆蓋該凸塊下金屬化構件,其中該凸塊下金屬化構件的該階梯部分具有一水平部將該導電柱與該介電層隔開。
  8. 如申請專利範圍第7項所述之封裝結構,更包括一保護材料,圍繞該接合結構,其中該保護材料與該第一導電構件之間無任何的氮化矽層、氧化矽層、或氮氧化矽層。
  9. 一種封裝結構的形成方法,包括:於一半導體基底上形成一介電層; 於該半導體基底上形成一第一導電構件;於一基底上形成一第二導電構件;以及透過一接合結構而將該第一導電構件與該第二導電構件接合,其中在形成該接合結構之前,無鈍化層形成於該第一導電構件和該半導體基底上,且該接合結構之形成包括:形成一凸塊下金屬化構件,該凸塊下金屬化構件具有一階梯部分直接接觸該第一導電構件之一側面;以及形成一導電柱,覆蓋該凸塊下金屬化構件,其中該凸塊下金屬化構件的該階梯部分具有一水平部將該導電柱與該介電層隔開。
TW103145157A 2014-03-13 2014-12-24 封裝結構及形成方法 TWI630691B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/209,023 US9779969B2 (en) 2014-03-13 2014-03-13 Package structure and manufacturing method
US14/209,023 2014-03-13

Publications (2)

Publication Number Publication Date
TW201539684A TW201539684A (zh) 2015-10-16
TWI630691B true TWI630691B (zh) 2018-07-21

Family

ID=54069647

Family Applications (1)

Application Number Title Priority Date Filing Date
TW103145157A TWI630691B (zh) 2014-03-13 2014-12-24 封裝結構及形成方法

Country Status (2)

Country Link
US (1) US9779969B2 (zh)
TW (1) TWI630691B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI769022B (zh) * 2021-07-22 2022-06-21 國立陽明交通大學 接合元件及其製備方法

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6341336B2 (ja) * 2015-10-30 2018-06-13 株式会社村田製作所 薄膜素子およびその製造方法
TWI607536B (zh) * 2016-02-24 2017-12-01 矽品精密工業股份有限公司 封裝結構

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200937547A (en) * 2007-12-18 2009-09-01 Micron Technology Inc Methods of fluxless micro-piercing of solder balls, and resulting devices
CN101632160A (zh) * 2006-12-11 2010-01-20 弗利普芯片国际有限公司 用于高温应用的焊料凸点/凸点下金属结构
TW201312664A (zh) * 2011-09-14 2013-03-16 Ableprint Technology Co Ltd 覆晶封裝製造方法
TW201407734A (zh) * 2012-08-03 2014-02-16 Advanced Semiconductor Eng 半導體覆晶接合結構及方法

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6818545B2 (en) * 2001-03-05 2004-11-16 Megic Corporation Low fabrication cost, fine pitch and high reliability solder bump
US6596619B1 (en) * 2002-05-17 2003-07-22 Taiwan Semiconductor Manufacturing Company Method for fabricating an under bump metallization structure
US8294279B2 (en) * 2005-01-25 2012-10-23 Megica Corporation Chip package with dam bar restricting flow of underfill
US20090197114A1 (en) * 2007-01-30 2009-08-06 Da-Yuan Shih Modification of pb-free solder alloy compositions to improve interlayer dielectric delamination in silicon devices and electromigration resistance in solder joints
US8067308B2 (en) * 2009-06-08 2011-11-29 Stats Chippac, Ltd. Semiconductor device and method of forming an interconnect structure with TSV using encapsulant for structural support
US8841766B2 (en) * 2009-07-30 2014-09-23 Taiwan Semiconductor Manufacturing Company, Ltd. Cu pillar bump with non-metal sidewall protection structure
US8610270B2 (en) * 2010-02-09 2013-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and semiconductor assembly with lead-free solder
US8895430B2 (en) * 2011-03-31 2014-11-25 Great Wall Semiconductor Corporation Method of making a semiconductor device comprising a land grid array flip chip bump system with short bumps
US20120261812A1 (en) * 2011-04-14 2012-10-18 Topacio Roden R Semiconductor chip with patterned underbump metallization
US8288871B1 (en) * 2011-04-27 2012-10-16 Taiwan Semiconductor Manufacturing Company, Ltd. Reduced-stress bump-on-trace (BOT) structures
US8916969B2 (en) * 2011-07-29 2014-12-23 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices, packaging methods and structures
US9064757B2 (en) * 2012-02-29 2015-06-23 Mediatek Inc. Enhanced flip chip structure using copper column interconnect
US9269681B2 (en) * 2012-11-16 2016-02-23 Qualcomm Incorporated Surface finish on trace for a thermal compression flip chip (TCFC)

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101632160A (zh) * 2006-12-11 2010-01-20 弗利普芯片国际有限公司 用于高温应用的焊料凸点/凸点下金属结构
TW200937547A (en) * 2007-12-18 2009-09-01 Micron Technology Inc Methods of fluxless micro-piercing of solder balls, and resulting devices
TW201312664A (zh) * 2011-09-14 2013-03-16 Ableprint Technology Co Ltd 覆晶封裝製造方法
TW201407734A (zh) * 2012-08-03 2014-02-16 Advanced Semiconductor Eng 半導體覆晶接合結構及方法

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI769022B (zh) * 2021-07-22 2022-06-21 國立陽明交通大學 接合元件及其製備方法

Also Published As

Publication number Publication date
US20150262846A1 (en) 2015-09-17
TW201539684A (zh) 2015-10-16
US9779969B2 (en) 2017-10-03

Similar Documents

Publication Publication Date Title
TWI552297B (zh) 半導體裝置及其製造方法
TWI553749B (zh) 封裝結構及其形成方法
TWI712141B (zh) 半導體封裝
TWI612634B (zh) 半導體元件結構及形成方法
US9018757B2 (en) Mechanisms for forming bump structures over wide metal pad
TWI720623B (zh) 半導體裝置及其形成方法
US9997480B2 (en) Method of forming a semiconductor device including strain reduced structure
US20150228594A1 (en) Via under the interconnect structures for semiconductor devices
US11145613B2 (en) Method for forming bump structure
US20210375821A1 (en) Chip package structure with ring-like structure
US9997482B2 (en) Solder stud structure
TWI630691B (zh) 封裝結構及形成方法
US11456276B2 (en) Chip package structure
US11217548B2 (en) Semiconductor device structure and manufacturing method
US9875982B2 (en) Semiconductor device and manufacturing method thereof
TW201417234A (zh) 半導體結構及其形成方法及半導體裝置
TWI824527B (zh) 半導體裝置結構及其形成方法