TWI485753B - 積體電路元件的形成方法 - Google Patents

積體電路元件的形成方法 Download PDF

Info

Publication number
TWI485753B
TWI485753B TW099117899A TW99117899A TWI485753B TW I485753 B TWI485753 B TW I485753B TW 099117899 A TW099117899 A TW 099117899A TW 99117899 A TW99117899 A TW 99117899A TW I485753 B TWI485753 B TW I485753B
Authority
TW
Taiwan
Prior art keywords
gate
forming
substrate
dielectric layer
heavily doped
Prior art date
Application number
TW099117899A
Other languages
English (en)
Other versions
TW201123277A (en
Inventor
Chung Shi Liu
Chen Hua Yu
Original Assignee
Taiwan Semiconductor Mfg Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Mfg Co Ltd filed Critical Taiwan Semiconductor Mfg Co Ltd
Publication of TW201123277A publication Critical patent/TW201123277A/zh
Application granted granted Critical
Publication of TWI485753B publication Critical patent/TWI485753B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/511Insulating materials associated therewith with a compositional variation, e.g. multilayer structures
    • H01L29/513Insulating materials associated therewith with a compositional variation, e.g. multilayer structures the variation being perpendicular to the channel plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Description

積體電路元件的形成方法
本發明係關於一種積體電路元件的形成方法,更特別關於其運用的閘極置換製程。
半導體積體電路產業已快速成長一段時日。在積體電路進步的過程中,其功能密度(每單位面積之晶片具有的內連線元件數目)越來越大,而其尺寸(製程所能形成之最小元件或連線)則越來越小。製程尺寸縮小有益於提高製程效率及相關成本。然而上述進步亦會增加積體電路製程及生產的複雜性,為使進步易於了解,積體電路製程的發展需類似的生產方法及發展。
隨著技術節點持續縮小,需要將習知的多晶矽閘極置換為金屬閘極以改善元件效能。其中一種形成金屬閘極的製程被稱作「後閘極」製程,在製程後半段形成閘極堆疊。在形成閘極結構後,上述製程可減少後續之必要步驟如高溫製程。然而,習知的後閘極製程面臨多種問題。當元件之間的閘極長度及尺寸縮小時將惡化上述問題。舉例來說,習知閘極置換製程的熱預算有限,這將限制金屬閘極的工作函數範圍。由於接觸結構如源極/汲極區的金屬矽化物區之形成順序早於閘極置換製程,這將更降低閘極的熱預算。上述接觸結構具有低的熱預算。如此一來,若閘極置換製程的製程(如高溫回火)溫度超過接觸結構的熱預算,將損害接觸結構。綜上所述,目前亟需改良的方法製造積體電路元件。
本發明提供一種積體電路元件的形成方法,包括提供基板,且基板上具有虛置閘極;進行閘極置換製程以形成閘極結構,其中閘極置換製程包括回火製程;在回火製程後移除部份介電層,以形成接觸開口露出部份基板;形成金屬矽化物結構於接觸開口所露出之基板上;以及填入接觸開口以形成接觸物於露出之基板上。
本發明亦提供一種積體電路元件的形成方法,包括提供基板,且基板上具有閘極結構;將閘極結構中的虛置閘極置換為金屬閘極;進行高溫回火製程以調整金屬閘極之臨界電壓;以及在高溫回火製程後,形成金屬矽化物區於基板中。
本發明更提供一種積體電路元件的形成方法,包括提供基板;形成閘極結構於基板上,其中閘極結構包括具有虛置閘極之閘極堆疊;形成摻雜區於基板中;形成介電材料層於基板上;移除閘極堆疊中的虛置電極,以形成溝槽;形成閘極於溝槽中;進行回火製程;在回火製程後,移除部份介電材料層,以形成接觸開口露出閘極中的摻雜區;經由接觸開口進行金屬矽化製程,形成金屬矽化物結構於摻雜區中;以及填入接觸開口以形成接觸物於摻雜區上。
可以理解的是,下述內容提供多種實施例以說明本發明的多種特徵。為了簡化說明,將採用特定的實施例、單元、及組合方式說明。然而這些特例並非用以限制本發明。舉例來說,形成某一元件於另一元件上包含了兩元件為直接接觸,或者兩者間隔有其他元件這兩種情況。此外為了簡化說明,本發明在不同圖示中採用相同符號標示不同實施例的類似元件,但上述重複的符號並不代表不同實施例中的元件具有相同的對應關係。
接下來將根據第1圖之方法100搭配第2A-2H圖之半導體元件200進行說明。半導體元件200係整體或部份之積體電路,可包含記憶單元及/或邏輯電路。半導體元件200可包含被動單元如電阻、電容、電感、及/或保險絲,或主動單元如p型通道場效電晶體(PFET)、n型通道場效電晶體(NFET)、金氧半場效電晶體(MOSFET)、互補式金氧半電晶體(CMOS)、高壓電晶體、及/或高頻電晶體,其他合適單元,及/或上述之組合。可以理解設,在方法100之前、之中、或之後可採用其他步驟。在其他實施例中,可置換或省略方法100之部份步驟。可以理解的是,半導體元件200可進一步添加其他結構。在其他實施例中,可置換或省略半導體元件200之部份結構。
第1圖係製備半導體元件200之方法100的流程圖,且為後閘極製程。在後閘極製程中,首先形成多晶矽虛置閘極,在移除虛置閘極後,形成金屬閘極結構。第2A-2H圖係對應第1圖之方法,為積體電路元件於製程中途的剖視圖。由於大部份的半導體元件200可由CMOS技術形成,因此下列敘述將省略部份製程。
習知的閘極置換製程的順序晚於源極/汲極區的金屬矽化物結構的形成順序。金屬矽化物結構將會限制後續製程的熱預算。更進一步的說,金屬矽化物結構具有低熱預算,有時甚至無法承受500℃以上的溫度。過高的溫度會損害金屬矽化物結構,並降低元件效能。低熱預算將限制後續製程如閘極製換製程。舉例來說,低熱預算將限制閘極置換製程中的回火步驟溫度,等同難以調整閘極結構之工作函數。
綜上所述,方法100在形成金屬矽化物結構於基板之接觸區(如源極/汲極區中的金屬矽化物)之前,先進行閘極置換製程。由於先進行閘極置換製程及相關的回火製程,可經由接觸開口(如提供基板接觸之孔洞/溝槽)形成金屬矽化物結構。先進行閘極置換製程可增加回火製程的熱預算,同時增加回火製程調整閘極結構之工作函數的彈性。如此一來,可調整閘極結構之臨界電壓。簡言之,閘極置換製程不再受到金屬矽化物的熱預算限制。可以理解的是,不同實施例具有不同優點,且任一實施例均不必然含有特定優點。
如第1及2A圖所示,方法100之步驟102提供基板202。在一實施例中,基板202為半導體基板如矽。在其他實施例中,基板202可為半導體元素如結晶矽及/或結晶鍺;半導體化合物如碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦、及/或銻化銦;半導體合金如矽鍺化物、磷砷化鎵、砷化鋁銦、砷化鋁鎵、砷化鎵銦、磷化鎵銦、及/或磷砷化鎵銦;或上述之組合。半導體合金基板如矽鍺化物可具有梯度濃度,其中某處之矽與鍺具有一特定比例,另一處之矽與鍺具有另一特定比例,兩處之間的矽與鍺的比例漸增或漸減。矽鍺合金可形成於矽基板上。矽鍺基板可具有應力。此外,半導體基板可為絕緣層上矽(SOI)。在某些實施例中,半導體基板可包含摻雜之磊晶層。在其他實施例中,矽基板可含有多層之半導體化合物結構。
視情況需要,基板202可含有不同的摻雜區如p型井區或n型井區。摻雜區可摻雜p型摻質如硼或BF2 、n型摻質如磷或砷、或上述之組合。摻雜區可直接形成於基板202上、p型井區中、n型井區中、雙井區結構、或隆起結構。基板202可更包含多種主動區如n型金氧半電晶體(NMOS)元件區或p型金氧半電晶體(PMOS)元件區。
基板202具有絕緣區,以分隔基板202之不同區域如NMOS及PMOS元件區。絕緣區係用以定義並電性絕緣不同區域,其形成方式可為局部氧化矽(LOCOS)或淺溝槽絕緣(STI)。絕緣區材料可為氧化矽、氮化矽、氮氧化矽、其他合適材料、或上述之組合。
接下來以合適製程形成一或多個閘極結構於基板202上。在一實施例中,閘極結構係堆疊結構,包含虛置閘極204及側壁間隔物208。閘極結構係由沉積、微影圖案化、及蝕刻等製程形成。沉積製程包含化學氣相沉積(CVD)、物理氣相沉積(PVD)、原子層沉積(ALD)、高密度電漿CVD(HDPCVD)、金屬氧化物CVD(MOCVD)、遠端電漿CVD(RPCVD)、電漿增強CVD(PECVD)、電鍍、其他合適製程、或上述之組合。微影圖案化製程包含塗佈光阻如旋塗法、軟烘烤、對準光罩、曝光、曝光後烘烤、顯影、沖洗、乾燥如硬烘烤、其他合適製程、或上述之組合。此外,微影之曝光製程可改用其他方法如無光罩微影、電子束直寫、或離子束直寫。蝕刻製程包含乾蝕刻、濕蝕刻、及/或其他蝕刻方法。
在步驟104中,可採用任何合適的製程形成包含虛置閘極204之閘極堆疊於基板202上。閘極堆疊可包含閘極介電層、蓋層、導電層、其他合適層、及/或上述之組合。虛置閘極204包含任何合適的材料。在一實施例中,虛置閘極204包含氧化矽、高介電常數之介電材料、及多晶矽。在另一實施例中,虛置閘極204可包含氧化矽,與位於氧化矽上之多晶矽。在此例中,虛置閘極可合併使用高介電常數之介電材料以利閘極置換製程。
在步驟206中,形成第一摻雜區206於基板202中。第一摻雜區206為淡摻雜源極/汲極(LDD)區。第一摻雜區206之形成方式為一或多重離子佈植製程、微影製程、擴散製程、及/或其他合適製程。第一摻雜區206之摻質及摻雜型態端視元件需要而定。上述摻質包含p型摻質如硼或BF2 、n型摻質如磷或砷、或上述之組合。
在步驟108中,形成側壁間隔物208於含有虛置閘極204之閘極堆疊兩側之側壁上。側壁間隔物208包含介電材料如氮化矽、碳化矽、氮氧化矽、其他合適材料、或上述之組合。側壁間隔物208可用以定義後續形成之摻雜區如重摻雜源極/汲極區。形成側壁間隔物208之步驟可進一步形成其他結構如密封層及/或側壁襯墊層。
在步驟110中,形成第二摻雜區210於基板202中。第二摻雜區210為源極/汲極區,又稱作重摻雜源極/汲極區。第二摻雜區210之形成方法為一或多重離子佈植製程、微影製程、擴散製程、及/或其他合適製程。第二摻雜區210之摻質及摻雜型態端視元件需要而定。上述摻質包含p型摻質如硼或BF2 、n型摻質如磷或砷、或上述之組合。在一實施例中,經一或多重離子佈植製程形成的第二摻雜區210對準側壁間隔物208之外緣。接著以回火製程如快速熱回火(RTA)及/或雷射回火活化第一摻雜區206及第二摻雜區210。
在部份實施例中,第二摻雜區210包含隆起的源極與汲極,其形成方式為一或多重磊晶製程。如此一來,可形成結晶態的矽鍺化物或矽結構於基板202中。上述磊晶製程包含CVD技術如氣相磊晶(VPE)及/或超真空CVD(UHVCVD)、分子束磊晶(MBE)、及/或其他合適製程。磊晶製程可採用氣相或液相前驅物,該些前驅物與基板202之組成(如矽)之間可產生作用力。
接著如第2B圖所示,以任何合適製程形成合適厚度之介電材料層於基板202及閘極結構上。介電材料層可為單層或多層結構。舉例來說,介電材料層可包括蝕刻停止層212及第一介電層214。蝕刻停止層212包含氮化矽、氮氧化矽、及/或其他合適材料。蝕刻停止層212的材料選擇,係依據半導體元件200其他結構與蝕刻停止層212之間的蝕刻選擇比。在此實施例中,蝕刻停止層為接觸結構的蝕刻停止層(CESL)。
在步驟112中,形成第一介電層214如層間介電層(ILD)於基板202上。第一介電層214包含任何合適材料如氧化矽、氮化矽、氮氧化矽、TEOS氧化物、磷矽酸鹽玻璃(PSG)、硼磷矽酸鹽玻璃(BPSG)、低介電常數材料、其他合適介電材料、及/或上述之組合。低介電材料包含氟摻雜矽酸鹽玻璃(FSG)、碳摻雜氧化矽、Black diamond(購自美國加州的Santa Clara公司)、乾凝膠、氣膠、非晶氟化碳、聚對二甲苯、雙苯並環丁烷(BCB)、SiLK(購自美國密西根州之密德蘭的Dow Chemical)、聚亞醯胺、其他合適材料、及/或上述之組合。第一介電層可包含具有多種介電材料層之多層結構。
在沉積第一介電層214後的步驟114中,進行化學機械研磨製程。舉例來說,化學機械研磨製程將持續到露出具有虛置閘極204的閘極結構頂部為止,如第2C圖所示。
在步驟116及118中,進行閘極置換製程以將虛置閘極204置換為金屬閘極。如第2D及2E圖所示,在移除虛置閘極後將形成溝槽(開口)215於閘極結構中,接著形成閘極結構216於溝槽(開口)215中。移除虛置閘極的方法可為任何合適步驟如乾蝕刻及/或溼蝕刻。閘極結構216包含閘極介電層216A及閘極216B。在一實施例中,閘極結構216包含界面層、高介電常數之介電層、蓋層、具有適當工作函數層、導電層、其他合適層、及/或上述之組合。
閘極介電層216A包含介電材料如氧化矽、氮氧化矽、高介電常數材料、其他合適材料、及/或上述之組合。高介電常數材料包含氧化鉿、氧化鉿矽、氮氧化鉿矽、氧化鉿鉭、氧化鉿鈦、氧化鉿鋯、氧化鋯、氧化鋁、氧化鉿-氧化鋁合金、及/或上述之組合。在一實施例中,閘極介電層216A包含界面層如氧化矽,且高介電常數層形成於界面層上。閘極介電層可事先形成於虛置閘極204下,並保留於閘極置換製程。另一方面,可在閘極置換製程時再形成閘極介電層。
閘極216B可由任何合適方法形成於閘極介電層216A上。閘極216B包含具有適當工作函數之導電層,或可調整至適當工作函數之導電層(亦稱作工作函數層)。在多種實施例中,工作函數層包含鉭、氮化鉭、鎳矽化物、鈷矽化物、氮化鈦、氮化鎢、鈦鋁合金、氮化鈦鋁、氮碳化鉭、碳化鉭、氮化鉭矽、其他合適材料、或上述之組合。閘極216B可更包含導電材料層形成於工作函數層上,而導電材料層可為鋁、鎢、或銅。導電材料層可進一步包含多晶矽、鈦、鉭、金屬合金、其他合適材料、及/或上述之組合。
在步驟120中,進行回火製程如快速熱回火(RTA)一段適當的時間。回火製程為高溫回火,舉例來說,其溫度大於或等於550℃。在一實施例中,回火溫度介於550℃至800℃之間。回火製程可調整閘極結構之臨界電壓及/或工作函數。值得注意的是,由於第二摻雜區210之金屬矽化物結構的形成順序晚於此回火製程,因此回火製程不受金屬矽化物結構之熱預算限制。由於高溫回火製程不會損害接觸結構如金屬矽化物,因此閘極置換製程的容忍度將提高,並同時增加閘極工作函數的範圍。如此一來,回火製程參數僅取決於所需之閘極工作函數(或臨界電壓)。
在步驟122中,形成第二介電層218如層間介電層(ILD)於基板202上。在此實施例中,第二介電層218係形成於第一介電層214上。第二介電層218包含任何合適的介電材料,其材質可與第一介電層214相同。可以理解的是,第二介電層可視作介電材料層的一部份,而介電材料層包含蝕刻停止層212、第一介電層214、及第二介電層218。
如第2F圖所示,移除部份的介電材料層以形成接觸開口露出部份基板202。在此實施例中,步驟124移除部份的蝕刻停止層212及介電材料層(如第一介電材料層214與第二介電材料層218)以形成接觸開口220。如此一來,接觸開口220將露出基板202,特別是露出部份第二摻雜區(源極/汲極區)210。移除介電材料層的方法可為任何合適步驟。舉例來說,多種蝕刻製程如乾蝕刻及/或濕蝕刻可用以移除介電材料層。為了定義接觸開口,可採用微影圖案化製形成圖案化光阻層後,再移除介電材料層。
在步驟126中,接著進行金屬矽化製程,經由接觸開口220形成金屬矽化物(接觸)結構222,如第2G圖所示。在此實施例中,金屬矽化物結構222係形成於基板202中,並耦合至第二摻雜區210。在一實施例中,金屬矽化物結構222包含鎳矽化物。在其他實施例中,若鎳矽化物的回火製程超過熱預算,將會使鎳矽化物轉為高電阻態並降低元件效能。金屬矽化物材料可擇自鎳矽化物、鎳鉑矽化物、鎳鉑鍺矽化物、鎳鍺矽化物、釔矽化物、鉑矽化物、銥矽化物、鉺矽化物、鈷矽化物、其他合適金屬矽化物材料、及/或上述之組合。
金屬矽化物結構222之形成方法可為任何合適製程如金屬矽化(自我對準金屬矽化)製程。舉例來說,可先沉積金屬材料於基板及摻雜區上。在沉積後升高溫度,使金屬材料與矽區產生反應。金屬矽化溫度取決於金屬材料的種類。上述金屬矽化製程亦稱之為回火,可為快速回火製程。之後移除未反應的金屬材料。反應後形成之金屬矽化物可進一步進行額外的熱製程,以降低金屬矽化物的電阻。
如第2H圖所示,步驟128以任何合適製程形成接觸物224於接觸開口220中。經由金屬矽化物結構222,接觸物224可提供接觸至第二摻雜區210。在此實施例中,將導電材料填入接觸開口220以形成接觸物224。導電材料包含任何合適材料如鎢、鋁、銅、鈦、鉭、氮化鈦、氮化鉭、鎳矽化物、鈷矽化物、其他合適材料、及/或上述之組合。
半導體元件200接著可進行其他CMOS製程以形成習知技藝已知之其他特徵。後續製程可形成額外接觸物、多種導孔/導線、以及多層內連線結構(如金屬層及層間介電層)於基板202上,以連接半導體元件之多種結構。額外結構可電性連接元件如已形成之金屬閘極結構。舉例來說,多層內連線包含垂直內連線如習知導孔或接觸物,以及水平內連線如金屬連線。多種內連線結構可採用多種導電材料如銅、鎢、及/或金屬矽化物。以雙鑲嵌製程為例,基板202上的多層內連線結構其材質為銅。
綜上所述,本發明一實施例提供高溫閘極置換製程,其回火製程早於形成接觸結構如源極/汲極之金屬矽化區。由於閘極置換製程早於形成金屬矽化結構,可經由接觸開口形成接觸結構,使形成於基板中或基板上的元件接觸基板。如此一來,閘極置換製程的回火製程將不受接觸結構(金屬矽化物)的熱預算限制。這將提高閘極置換製程容忍度,可高溫回火以調整閘極的工作函數。綜上所述,可採用大於或等於約550 ℃之回火溫度調整閘極結構的臨界電壓或工作函數。
雖然本發明已以數個較佳實施例揭露如上,然其並非用以限定本發明,任何熟習此技藝者,在不脫離本發明之精神和範圍內,當可作任意之更動與潤飾,因此本發明之保護範圍當視後附之申請專利範圍所界定者為準。
100...方法
102、104、106、108、110、112、114、116、118、120、122、124、126、128...步驟
200...半導體元件
202...基板
204...虛置閘極
206...第一摻雜區
208...側壁間隔物
210...第二摻雜區
212...蝕刻停止層
214...第一介電層
215...溝槽
216...閘極結構
216A...閘極介電層
216B...閘極
218...第二介電層
220...接觸開口
222...金屬矽化物結構
224...接觸物
第1圖係本發明一實施例中,形成積體電路元件之流程圖;以及
第2A-2H圖係對應第1圖之方法,為積體電路元件於製程中途的剖視圖。
200...半導體元件
202...基板
206...第一摻雜區
208...側壁間隔物
210...第二摻雜區
212...蝕刻停止層
214...第一介電層
216A...閘極介電層
216B...閘極
218...第二介電層
220...接觸開口
222...金屬矽化物結構
224...接觸物

Claims (16)

  1. 一種積體電路元件的形成方法,包括:提供一基板;形成一閘極結構,該閘極結構包括一虛置閘極於該基板上;形成一重掺雜源極區以及一重掺雜汲極區於該基板中,且該重掺雜源極區以及該重掺雜汲極區與該虛置閘極相鄰;在形成該重掺雜源極區與該重掺雜汲極區後,形成第一層間介電層於該基板與該閘極結構上,且該第一層間介電層露出該虛置閘極;進行一閘極置換製程,將該閘極結構之虛置閘極置換成一閘極,其中該閘極置換製程包括一回火製程;在該回火製程後,形成一第二層間介電層於該第一層間介電層上;移除部份該第一層間介電層與部份該第二層間介電層,以形成一接觸開口穿過該第一層間介電層與該第二層間介電層並露出部份該基板;形成一金屬矽化物結構於該接觸開口所露出之該基板上;以及將一導電材料填入該接觸開口以形成一接觸物於露出之該基板上。
  2. 如申請專利範圍第1項所述之積體電路元件的形成方法,其中該回火製程之溫度大於或等於550℃。
  3. 如申請專利範圍第1項所述之積體電路元件的形 成方法,其中該回火製程之溫度調整該閘極結構之工作函數。
  4. 如申請專利範圍第1項所述之積體電路元件的形成方法,其中形成該金屬矽化物結構於該接觸開口所露出之基板上之步驟,包括形成鎳矽化物結構於該基板中源極/汲極區上。
  5. 如申請專利範圍第1項所述之積體電路元件的形成方法,其中該金屬矽化物結構係擇自下列物質所構成的群組之一:鎳矽化物、鎳鉑矽化物、鎳鉑鍺矽化物、鎳鍺矽化物、釔矽化物、鉑矽化物、銥矽化物、鉺矽化物、鈷矽化物、或上述之組合。
  6. 如申請專利範圍第1項所述之積體電路元件的形成方法,其中填入該接觸開口以形成一接觸物於露出之該基板上之步驟,包括沉積一導電材料層以填入該接觸開口。
  7. 如申請專利範圍第1項所述之積體電路元件的形成方法,其中進行該閘極置換製程之步驟包括:移除該虛置電極,形成一溝槽;以及沉積一閘極介電層及該閘極於該溝槽中。
  8. 一種積體電路元件的形成方法,包括:提供一基板,且該基板上具有一閘極結構夾設於該基板中的一輕掺雜源極區與一輕掺雜汲極區之間,該閘極結構包括一虛置閘極與沿著該虛置閘極側壁之間隔物;形成一重掺雜源極區與一重掺雜汲極區於該基板 中,且該重掺雜源極區與該重掺雜汲極區與該虛置閘極相鄰;在形成該重掺雜源極區與該重掺雜汲極區後,將該閘極結構中的虛置閘極置換為一金屬閘極;進行一高溫回火製程以調整該金屬閘極之臨界電壓;之後形成一介電材料層於該基板上;移除部份該介電材料層以形成一開口露出與該閘極結構相鄰的該重掺雜源極區與該重掺雜汲極區,其中該開口定義該重掺雜源極區與該重掺雜汲極區之一接觸物的位置;之後經由該開口形成一金屬矽化區於該基板之該重掺雜之源極區與該重掺雜之汲極區中;以及之後將一導電材料填入該開口以形成該基板之該重掺雜源極區與該重掺雜汲極區的該接觸物。
  9. 如申請專利範圍第8項所述之積體電路元件的形成方法,其中該介電層包括一第一層間介電層與一第二層間介電層,在進行該高溫回火製程前形成該第一層間介電層於該基板上,以及在進行該高溫回火製程後形成該第二層間介電層於該第一層間介電層上,其中該開口穿過該第一層間介電層與該第二層間介電層。
  10. 如申請專利範圍第8項所述之積體電路元件的形成方法,其中該高溫回火製程之溫度大於或等於550℃。
  11. 一種積體電路元件的形成方法,包括: 提供一基板;形成一閘極結構於該基板上,其中該閘極結構包括一具有虛置閘極之閘極堆疊以及沿著該閘極堆疊側壁之間隔物;形成一源極區與一汲極區於該基板中,其中該閘極結構夾設於該源極區與該汲極區之間;形成一第一層間介電層於該基板上及該閘極結構上,並化學機械研磨該層間介電層以露出該閘極結構之虛置閘極的上半部;完全移除該閘極堆疊中的該虛置電極,以形成一溝槽露出部份該基板;在完全移除該閘極結構之虛置閘極後,形成一高介電常數之介電層於該溝槽中露出的部份該基板上;形成一閘極於該溝槽中;在形成該閘極後進行一回火製程;在該回火製程後,形成一第二層間介電層於該第一層間介電層上;移除部份該第一層間介電層與部份該第二層間介電層,以形成一接觸開口延伸穿過該第一與第二層間介電層並露出該源極區與該汲極區;經由該接觸開口進行一金屬矽化製程,分別形成金屬矽化物結構於該源極區與該汲極區中;以及將導電材料填入該接觸開口以形成接觸物於該源極區與該汲極區上。
  12. 如申請專利範圍第11項所述之積體電路元件的 形成方法,其中該回火製程係一高溫回火製程,且該回火製程之溫度介於550℃至800℃之間。
  13. 如申請專利範圍第11項所述之積體電路元件的形成方法,其中進行該回火製程之步驟包括選擇回火溫度,以調整該閘極之工作函數及/或臨界電壓。
  14. 如申請專利範圍第13項所述之積體電路元件的形成方法,其中該回火製程之溫度大於或等於550℃。
  15. 如申請專利範圍第11項所述之積體電路元件的形成方法,其中進行該回火製程之步驟包括快速熱回火製程。
  16. 如申請專利範圍第11項所述之積體電路元件的形成方法,其中該金屬矽化物結構包括鎳矽化物。
TW099117899A 2009-12-21 2010-06-03 積體電路元件的形成方法 TWI485753B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US12/643,279 US8664070B2 (en) 2009-12-21 2009-12-21 High temperature gate replacement process

Publications (2)

Publication Number Publication Date
TW201123277A TW201123277A (en) 2011-07-01
TWI485753B true TWI485753B (zh) 2015-05-21

Family

ID=44151693

Family Applications (1)

Application Number Title Priority Date Filing Date
TW099117899A TWI485753B (zh) 2009-12-21 2010-06-03 積體電路元件的形成方法

Country Status (3)

Country Link
US (2) US8664070B2 (zh)
CN (1) CN102103995B (zh)
TW (1) TWI485753B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI682546B (zh) * 2016-05-24 2020-01-11 聯華電子股份有限公司 高壓金屬氧化物半導體電晶體及其製作方法

Families Citing this family (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8664070B2 (en) * 2009-12-21 2014-03-04 Taiwan Semiconductor Manufacturing Company, Ltd. High temperature gate replacement process
US8426300B2 (en) * 2010-12-02 2013-04-23 International Business Machines Corporation Self-aligned contact for replacement gate devices
US20120187505A1 (en) * 2011-01-25 2012-07-26 International Business Machines Corporation Self-aligned III-V MOSFET fabrication with in-situ III-V epitaxy and in-situ metal epitaxy and contact formation
CN103094120A (zh) * 2011-11-08 2013-05-08 中国科学院微电子研究所 一种半导体结构的制造方法
US20130175619A1 (en) * 2012-01-06 2013-07-11 International Business Machines Corporation Silicon-on-insulator transistor with self-aligned borderless source/drain contacts
CN103578991B (zh) * 2012-07-24 2017-12-12 中国科学院微电子研究所 半导体器件制造方法
US9396950B2 (en) * 2013-03-15 2016-07-19 Globalfoundries Inc. Low thermal budget schemes in semiconductor device fabrication
TWI584433B (zh) * 2013-04-30 2017-05-21 聯華電子股份有限公司 半導體結構及其製作方法
US9147747B2 (en) * 2013-05-02 2015-09-29 United Microelectronics Corp. Semiconductor structure with hard mask disposed on the gate structure
US9362163B2 (en) * 2013-07-30 2016-06-07 Lam Research Corporation Methods and apparatuses for atomic layer cleaning of contacts and vias
US20150087144A1 (en) * 2013-09-26 2015-03-26 Taiwan Semiconductor Manufacturing Company Ltd. Apparatus and method of manufacturing metal gate semiconductor device
US9111907B2 (en) * 2014-01-02 2015-08-18 Globalfoundries Inc. Silicide protection during contact metallization and resulting semiconductor structures
US10032876B2 (en) 2014-03-13 2018-07-24 Taiwan Semiconductor Manufacturing Company, Ltd. Contact silicide having a non-angular profile
US20150372100A1 (en) * 2014-06-19 2015-12-24 GlobalFoundries, Inc. Integrated circuits having improved contacts and methods for fabricating same
KR102271003B1 (ko) * 2014-07-11 2021-06-29 삼성전자주식회사 반도체 소자의 제조 방법
US9576803B2 (en) 2015-05-13 2017-02-21 United Microelectronics Corporation Method for tuning metal gate work function before contact formation in fin-shaped field effect transistor manufacturing process
SG10201604524PA (en) 2015-06-05 2017-01-27 Lam Res Corp ATOMIC LAYER ETCHING OF GaN AND OTHER III-V MATERIALS
US10096487B2 (en) 2015-08-19 2018-10-09 Lam Research Corporation Atomic layer etching of tungsten and other metals
CN106684041B (zh) * 2015-11-10 2020-12-08 联华电子股份有限公司 半导体元件及其制作方法
US9991128B2 (en) 2016-02-05 2018-06-05 Lam Research Corporation Atomic layer etching in continuous plasma
KR102551745B1 (ko) 2016-11-09 2023-07-06 삼성전자주식회사 반도체 장치
US10566212B2 (en) 2016-12-19 2020-02-18 Lam Research Corporation Designer atomic layer etching
CN110867372B (zh) * 2018-08-27 2022-05-20 中芯国际集成电路制造(天津)有限公司 一种半导体器件的形成方法
CN110875184B (zh) * 2018-08-29 2023-08-18 中芯国际集成电路制造(上海)有限公司 半导体器件及其形成方法

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5618761A (en) * 1994-09-16 1997-04-08 Kabushiki Kaisha Toshiba Method of manufacturing a perovskite thin film dielectric
US20020094647A1 (en) * 1999-12-17 2002-07-18 U.S. Philips Corporation Method of manufacturing a semiconductor device
US20070034967A1 (en) * 2005-08-01 2007-02-15 International Business Machines Corporation Metal gate mosfet by full semiconductor metal alloy conversion
US20080064172A1 (en) * 2003-11-14 2008-03-13 International Business Machines Corporation Stressed semiconductor device structures having granular semiconductor material

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6365450B1 (en) * 2001-03-15 2002-04-02 Advanced Micro Devices, Inc. Fabrication of P-channel field effect transistor with minimized degradation of metal oxide gate
US6475908B1 (en) * 2001-10-18 2002-11-05 Chartered Semiconductor Manufacturing Ltd. Dual metal gate process: metals and their silicides
US6620664B2 (en) * 2002-02-07 2003-09-16 Sharp Laboratories Of America, Inc. Silicon-germanium MOSFET with deposited gate dielectric and metal gate electrode and method for making the same
US7232731B2 (en) * 2003-12-31 2007-06-19 Dongbu Electronics Co., Ltd. Method for fabricating transistor of semiconductor device
US7705405B2 (en) * 2004-07-06 2010-04-27 International Business Machines Corporation Methods for the formation of fully silicided metal gates
US7393761B2 (en) * 2005-01-31 2008-07-01 Tokyo Electron Limited Method for fabricating a semiconductor device
JP4437298B2 (ja) * 2005-10-26 2010-03-24 エルピーダメモリ株式会社 半導体装置の製造方法
US7714396B2 (en) * 2006-07-05 2010-05-11 United Microelectronics Corp. Metal-oxide semiconductor field effect transistor
JP5242145B2 (ja) * 2007-12-05 2013-07-24 株式会社東芝 半導体装置の製造方法
JP2009152342A (ja) * 2007-12-20 2009-07-09 Hitachi Kokusai Electric Inc 半導体装置の製造方法
US7768074B2 (en) * 2008-12-31 2010-08-03 Intel Corporation Dual salicide integration for salicide through trench contacts and structures formed thereby
US8202776B2 (en) * 2009-04-22 2012-06-19 Taiwan Semiconductor Manufacturing Company, Ltd. Method for protecting a gate structure during contact formation
US8664070B2 (en) 2009-12-21 2014-03-04 Taiwan Semiconductor Manufacturing Company, Ltd. High temperature gate replacement process

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5618761A (en) * 1994-09-16 1997-04-08 Kabushiki Kaisha Toshiba Method of manufacturing a perovskite thin film dielectric
US20020094647A1 (en) * 1999-12-17 2002-07-18 U.S. Philips Corporation Method of manufacturing a semiconductor device
US20080064172A1 (en) * 2003-11-14 2008-03-13 International Business Machines Corporation Stressed semiconductor device structures having granular semiconductor material
US20070034967A1 (en) * 2005-08-01 2007-02-15 International Business Machines Corporation Metal gate mosfet by full semiconductor metal alloy conversion

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI682546B (zh) * 2016-05-24 2020-01-11 聯華電子股份有限公司 高壓金屬氧化物半導體電晶體及其製作方法

Also Published As

Publication number Publication date
US20140175548A1 (en) 2014-06-26
US9406776B2 (en) 2016-08-02
TW201123277A (en) 2011-07-01
CN102103995B (zh) 2013-02-06
US20110151635A1 (en) 2011-06-23
CN102103995A (zh) 2011-06-22
US8664070B2 (en) 2014-03-04

Similar Documents

Publication Publication Date Title
TWI485753B (zh) 積體電路元件的形成方法
US11410877B2 (en) Source/drain contact spacers and methods of forming same
KR101785864B1 (ko) 하이 K 금속 게이트를 갖는 nFET에 대한 구조 및 방법
KR101334465B1 (ko) 개선된 실리사이드 형성 및 연관된 장치
US9640535B2 (en) Method for forming source/drain contacts during CMOS integration using confined epitaxial growth techniques and the resulting semiconductor devices
TWI382498B (zh) 半導體元件的製造方法
US8658525B2 (en) Methods for a gate replacement process
TWI433301B (zh) 半導體元件及其形成方法
US9337192B2 (en) Metal gate stack having TaAlCN layer
TWI463664B (zh) 積體電路裝置的製造方法
US9246002B2 (en) Structure and method for semiconductor device
US8476126B2 (en) Gate stack for high-K/metal gate last process
TW201820478A (zh) 半導體元件及其製造方法
US9934977B1 (en) Salicide bottom contacts
TW201013792A (en) Semiconductor device and fabrication method thereof
TW201409553A (zh) 半導體裝置及其製造方法
TW201724215A (zh) 半導體裝置及其製造方法
TW202002004A (zh) 半導體結構的製造方法
TW201603269A (zh) 積體電路裝置與其形成方法
TWI727053B (zh) 半導體裝置結構之製造方法及半導體裝置結構
TW202133452A (zh) 半導體裝置之製造方法
CN220963349U (zh) 半导体装置
US20220328640A1 (en) Source/drains in semiconductor devices and methods of forming thereof
TW202345221A (zh) 半導體裝置及其形成方法