US20070034967A1 - Metal gate mosfet by full semiconductor metal alloy conversion - Google Patents

Metal gate mosfet by full semiconductor metal alloy conversion Download PDF

Info

Publication number
US20070034967A1
US20070034967A1 US11/537,718 US53771806A US2007034967A1 US 20070034967 A1 US20070034967 A1 US 20070034967A1 US 53771806 A US53771806 A US 53771806A US 2007034967 A1 US2007034967 A1 US 2007034967A1
Authority
US
United States
Prior art keywords
semiconductor
gate
type mosfet
metal
region
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/537,718
Inventor
Hasan Nayfeh
Mahender Kumar
Sunfei Fang
Jakub Kedzierski
Cyril Cabral
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
GlobalFoundries Inc
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Priority to US11/537,718 priority Critical patent/US20070034967A1/en
Publication of US20070034967A1 publication Critical patent/US20070034967A1/en
Assigned to GLOBALFOUNDRIES U.S. 2 LLC reassignment GLOBALFOUNDRIES U.S. 2 LLC ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: INTERNATIONAL BUSINESS MACHINES CORPORATION
Assigned to GLOBALFOUNDRIES INC. reassignment GLOBALFOUNDRIES INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: GLOBALFOUNDRIES U.S. 2 LLC, GLOBALFOUNDRIES U.S. INC.
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823835Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes silicided or salicided gate conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823842Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/6659Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET

Definitions

  • the present invention relates in general to the manufacture of integrated circuits and, more particularly, to a structure and method of making MOSFET devices having metal gates.
  • Metal gate technology allows for improved MOSFET device performance over conventional semiconductor MOSFET devices using semiconductor gate electrodes, due to elimination of the depletion layer in the gate; thus, decreasing the electrical inversion oxide thickness, t inv , by about 3-5 ⁇ without incurring a subsequent significant increase in gate oxide leakage current.
  • semiconductor gate electrodes are formed from polysilicon (poly or poly-Si, amorphous Si, SiGe etc.).
  • MOSFET devices with fully silicided gate electrodes (FUSI gates) allow for thinner electrical inversion oxide thickness, t inv resulting in improved device performance due to increased carrier density in the channel, and also improved control over short-channel effects.
  • a p-type dopant has yet to be found that can significantly shift the workfunction towards the valence band edge; thus the technique of pre-doping fully silicided gates is less effective for PFET devices.
  • a different metal silicide material for example, using a NiPt alloy with a 30% Pt concentration, may be required.
  • NiPt alloy with a 30% Pt concentration
  • silicidation is meant to include any process of forming a semiconductor metal alloy
  • silicide is meant to include any such resulting semiconductor metal alloy
  • silicided is meant to include any appropriate semiconductor that has been converted to a semiconductor metal alloy, and is not meant to be limited to processes or materials involving only silicon semiconductors.
  • FUSI full silicidation
  • a fully silicided FET of a first type for example, an nFET or PFET
  • PFET partially silicided FET of a second type.
  • FUSI fully silicided
  • a method is provided of forming a semiconductor structure comprising: providing a structure comprising a gate stack in an nFET region and a gate stack in a PFET region, where the gate stacks each comprise a semiconductor layer, and the structure further comprises a planarized dielectric layer formed over the gate stacks in the nFET and PFET regions; removing portions of the planarized dielectric layer to expose the semiconductor layers of the gate stacks; forming a metal-containing layer in contact with the exposed semiconductor layers of the gate stacks, wherein the metal-containing layer is thick enough to fully silicide the semiconductor layer of the gate stack in a first one of the nFET region and PFET region but not thick enough to fully silicide the semiconductor layer in a second of the nFET and PFET region; and forming a fully silicided gate conductor from the metal-containing layer in contact with the semiconductor layer of the gate stack in the first one of the nFET region and PFET region while forming
  • the semiconductor layer of the gate stack in the first one of the nFET region and the PFET region is recessed to a height that is less than the height of the semiconductor layer of the gate stack in the second one of the nFET and PFET region.
  • the recessing of the semiconductor layer of the gate stack in the first one of the nFET region and the PFET region comprises an anisotropic etch, such as RIE, of the semiconductor layer selective to the planarized dielectric layer.
  • the metal-containing layer is thinned over the second one of the nFET and the PFET region relative to the thickness of the metal-containing layer over the first one of the nFET region and the PFET region.
  • the thinning of the metal containing layer is preferably performed using a wet etch.
  • a semiconductor structure comprising: a first one of an nFET device and a PFET device comprising a partially-silicided gate conductor including a lower gate conductor portion comprising a semiconductor layer and an upper silicide gate conductor portion atop said lower gate conductor portion; and a second one of an nFET device and a PFET device comprising a fully-silicided gate conductor having a height less than the height of said partially-silicided gate conductor of said first one of said nFET device and PFET device.
  • the semiconductor structure of the invention includes a PFET device and an nFET device that are spaced apart at a distance less than 200 nm.
  • a semiconductor structure comprising an nFET device comprising a fully-silicided gate conductor and a PFET device comprising a partially-silicided gate conductor is formed by a method comprising: providing a structure comprising a gate stack in an nFET region and a gate stack in a PFET region, where the gate stacks each comprise a semiconductor layer, and the structure further comprises a planarized dielectric layer formed over the gate stacks in the nFET and PFET regions; removing portions of the planarized dielectric layer to expose said semiconductor layers of said gate stacks; forming a metal-containing layer in contact with the exposed semiconductor layers of the gate stacks, wherein the metal-containing layer is thick enough to fully silicide the semiconductor layer of the gate stack in the nFET region but not thick enough to fully silicide the semiconductor layer in the PFET region; and forming a fully silicided gate conductor from the metal-containing layer in contact with the semiconductor layer of the gate
  • FIGS. 1 through 10 illustrate process steps used to form one embodiment of the invention.
  • FIGS. 11 through 15 illustrate process steps used to form a second embodiment of the present invention.
  • the present invention which provides structures and methods for integrating MOSFET devices of a first type (e.g. nFET) having fully silicided gate electrodes with MOSFET devices of a second type (e.g. PFET) having partially silicided gate electrodes, will now be described in more detail by referring to the drawings that accompany the present application.
  • a first type e.g. nFET
  • MOSFET devices of a second type e.g. PFET
  • a process flow is provided whereby the MOSFET devices of the first type include fully silicided gate electrodes, and the MOSFET devices of the second type have partially silicided electrodes such that both devices have threshold voltages similar to a standard polysilicon gate electrode approach.
  • the technique described in this disclosure can be applied to densely packed circuits with gate pitch less than about 200 nm.
  • nFETs are implemented with fully silicided gate electrodes while the pFETs are implemented with partially silicided gate electrodes, but the present invention is not intended to be limited to those embodiments, but is similarly applicable to fully silicided PFET gate electrodes integrated with partially silicided nFET gate electrodes.
  • the structure described is applicable to dense circuits, with gate pitch on the order of 200 nm, consistent with the 65 nm technology node, and is extendable to future technology generations.
  • FIG. 1 illustrates an initial semiconductor wafer substrate 10 , which can include, but is not limited to, a bulk silicon-containing substrate, a silicon-on-insulator (SOI) wafer.
  • the silicon or silicon-containing substrate can include semiconductor materials such as, but not limited to: Si, SiGe, SiC, and SiGeC, that can be employed in the present invention.
  • the initial wafer 10 of FIG. 1 comprises an n-doped well region 11 and a p-doped well region 12 .
  • a gate dielectric layer 18 is formed atop the substrate 10 , and an isolation region 13 may be formed in the substrate 10 .
  • the isolation region 13 may be formed using by any method currently known or developed in the future, including conventional lithography and etching processes to form trenches which are subsequently filled with a dielectric such as TEOS (tetraethylorthosilicate) or oxide using a process such as chemical vapor deposition (CVD) or plasma CVD, followed by planarization, such as chemical-mechanical polishing (CMP).
  • a dielectric such as TEOS (tetraethylorthosilicate) or oxide using a process such as chemical vapor deposition (CVD) or plasma CVD, followed by planarization, such as chemical-mechanical polishing (CMP).
  • a gate dielectric layer 18 is formed atop the substrate, utilizing a conventional thermal growing process or by deposition.
  • the gate dielectric 18 is typically a thin layer having a thickness of from about 1 to about 10 nm.
  • the gate dielectric may be composed of an oxide including, but not limited to: SiO 2 , oxynitides, Al 2 O 3 , ZrO 2 , HfO 2 , Ta 2 O 3 , TiO 2 , perovskite-type oxides, silicates and combinations of the above with or without the addition of nitrogen.
  • the gate dielectric may be formed using a thermal growing process or by deposition.
  • gate stacks 25 and 35 are formed over the n-doped well region 11 and p-doped well region 12 , respectively, as illustrated in FIG. 2 .
  • the p-FET device region 30 comprises the gate stack 25 formed on the n-doped region
  • the n-FET device region 40 comprises the gate stack 35 formed on the p-doped region 12 .
  • the gate stacks 25 , 35 may comprise one or more semiconductor layers 20 , 22 , including, but not limited to semiconductor materials such as polysilicon, Ge, SiGe, SiC, SiGeC, or the like, which may include a semiconductor that is doped, for example, with a p+ type dopant in the PFET region 30 and with an n+ type dopant in the nFET region 40 .
  • the gate stacks 25 , 35 may include a hardmask layer 24 , 26 , typically comprising a nitride such as silicon nitride.
  • the gate stacks 25 , 35 may be formed by depositing semiconductor layers and hardmask layers and utilizing patterning methods such as conventional lithography and etching so as to provide a plurality of patterned stack regions atop the wafer 10 .
  • source/drain areas shown in regions 28 , 29 are formed into doped regions 11 , 12 by utilizing conventional ion implantation, such as n+ type dopants in the source/drain regions 28 in the n-type well 11 of the PFET region 30 , and p+ type dopants in the source/drain regions 29 in the p-type well 12 of the nFET region 40 . This is followed by annealing, for example at temperatures in the range from about 1000 to 1100° C. to activate the source/drain regions 28 , 29 .
  • Insulating spacers 27 are then formed on each exposed vertical sidewall surface of the patterned stack regions 25 , 35 by first depositing an insulating material, such as a nitride or oxynitride, and then selectively etching the insulator material.
  • the spacer 27 may comprise multiple spacers and materials, for example, spacers 27 may include an inner spacer comprising a nitride and an outer spacer comprising an oxide.
  • FIG. 3 shows the structure after the above processing steps have been performed.
  • suicide regions 32 on the source/drain areas 28 , 29 are formed.
  • a self-aligned salicide process is used to form the suicide regions 32 .
  • a metal such as nickel is deposited, for example by a suitable method such as sputtering, PECVD, electron beam evaporation, or the like. Any metal may be used that is capable of forming a metal silicide when in contact with silicon and subjected to annealing. Suitable metals include, but are not limited to: Co, Ni, Ti, W, Mo, Ta and the like. Preferred metals include Ni, Co and Ti, with nickel most preferred.
  • RTA rapid thermal anneal
  • a thin dielectric layer 52 is formed over the structure covering the nFET region 40 and PFET region 30 including the silicide regions 32 .
  • the thin dielectric layer 52 will act to protect the silicide regions 32 during a subsequent reactive ion etch (RIE) process.
  • RIE reactive ion etch
  • the thin dielectric layer 52 is a nitride, having a thickness of about 10-30 nm.
  • a second dielectric layer 54 is formed atop the thin dielectric layer 52 .
  • the thick dielectric layer 54 is an oxide.
  • the thick dielectric layer 54 is thicker than the height of the gate stacks 25 , 35 , preferably about 1.5 to 3 times thicker than the height of the gate stacks, and more preferably about 2 to 3 times the height of the gate stacks 25 , 35 .
  • the resulting structure is illustrated in FIG. 5 .
  • the wafer is then planarized, for example, by chemically-mechanically polished (CMP), as shown in FIG. 6 , so that the thick dielectric layer 54 is planarized and still covers the gate stacks 25 , 35 .
  • CMP chemically-mechanically polished
  • the thick dielectric layer 54 typically oxide
  • the thin dielectric layer 52 typically nitride
  • the hardmask 24 typically nitride
  • the spacers 27 typically a combination of oxide and nitride
  • RIE 71 reactive etching
  • the PFET area 30 is blocked with photo-resist layer 60 using an existing lithography reticle in the technology.
  • the present invention doesn't require the introduction of a new reticle into this step of the process.
  • the nFET semiconductor gate 22 (typically polysilicon) is thinned down using a dry anistropic etch, e.g. RIE, selective to the dielectric layers 54 , 52 and spacers 27 (typically selective to nitride and oxide).
  • the nFET semicondutor gate electrode 22 is preferably thinned to from about one half to about one third the initial thickness.
  • the resulting nFET gate electrode is preferably sufficiently thin to enable full silicidation of the nFET gate electrode 22 , but not the PFET gate electrode 20 .
  • the RIE is preferably performed so that the resulting structure is not attacked laterally, which is an important consideration for densely packed gate lines ( ⁇ 200 nm pitch).
  • the photo-resist is then removed, for example by wet etch, and next, a metal-containing layer 56 is deposited to contact the exposed surface of the semiconductor gate electrodes 20 , 22 , which will subsequently be reacted with the gate electrodes 20 , 22 .
  • the metal-containing layer 56 is substantially uniform in composition across both the nFET 30 and PFET 40 regions.
  • the metal-containing layer 56 may include any metal that is capable of forming a semiconductor metal alloy when in contact with the semiconductor, which reaction is typically referred to as silicidation when the semiconductor material is silicon.
  • the term “silicidation” is used to refer to the process of forming a semiconductor metal alloy from the reaction of a semiconductor material, including, but not limited to Si, Ge, SiGe, SiC, SiGeC, GaAs and the like, with a metal, including, but not limited to Co, Ni, Ti, W, Mo, Ta and the like.
  • the metal is selected so that resulting semiconductor metal alloy (hereinafter, for convenience, referred to as a “silicide”) has a work function that is similar to that of a heavily doped semiconductor, such as heavily doped polysilicon.
  • a heavily doped semiconductor such as heavily doped polysilicon.
  • preferred metals include Ni, Co and Ti, with nickel most preferred.
  • the metal layer 56 is deposited at a thickness that is thick enough to fully silicide the remaining nFET gate electrode 22 , but not fully silicide the PFET gate electrode 20 .
  • the structure is subjected to a rapid thermal anneal (RTA) to react the metal layer 56 with gate electrodes 20 , 22 .
  • RTA rapid thermal anneal
  • the RTA is performed at temperatures depending on the semiconductor material and the metal.
  • a temperature ranging from 300-600° C. is preferred, while for Co, the preferred temperature ranges from 550-750° C..
  • the PFET polysilicon 20 is thicker than the nFET polysilicon 22 , the resulting salicide process partially consumes the PFET electrode 20 , while the nFET electrode 22 is fully consumed, forming a fully-silicided nFET gate electrode 62 as shown in FIG. 10 .
  • the PFET gate stack is partially silicided, including a silicide portion 64 and unreacted portion 20 .
  • An interlevel dielectric (ILD) layer 70 is typically formed over the structure, having a thickness ranging from about 400 to 500 nm, and the nFET and PFET devices are completed as normal.
  • a fully silicided nFET and partially-slicided PFET gates is achieved using wet-etching instead of dry.
  • a metal-containing layer 56 preferably containing Ni, is deposited at this point with thickness that is sufficient to fully-silicide the semiconductor (e.g. polysilicon) gates 20 , 22 .
  • the nFET area 40 is blocked with photo-resist layer 63 using an existing lithography reticle in the technology as shown in FIG. 12 . Note that the present invention doesn't require the introduction of a new reticle into this step of the process.
  • the metal-containing layer 56 is exposed to a dilute wet etch that removes metal from the p-FET areas, thinning it to a thickness such that the final resulting gate is not fully silicided following thermal reaction, as shown in FIG. 13 . Note since wet etch processes are isotropic, lateral etching will occur. The photo-resist is then wet etched away, leaving the metal-containing layer 56 over the nFET region 40 , but which has been thinned over the PFET region 30 .
  • the structure is subjected to a rapid thermal anneal (RTA) to react the metal layer 56 with the gate electrodes 20 , 22 .
  • RTA rapid thermal anneal
  • the temperature will depend on the reaction, for example, for a polysilicon gate electrode with Ni, the RTA is preferably performed in a temperature range 300-600° C. If the metal is Co, the preferred RTA temperature is in the range 550-750° C.. Since the metal-containing layer 56 is thicker over the nFET areas 40 than over the PFET areas 30 , the resulting nFET gate 35 is fully silicided having metal converted area 66 , but the PFET gate 25 is partially silicided having a metal converted area 65 formed over polysilicon 20 conductor.
  • an interlevel dielectric (ILD) layer 70 is typically formed over the structure, typically having a thickness ranging from about 400 to 500 nm, as illustrated in FIG. 15 . Subsequently, the nFET 35 and PFET 25 devices may be completed as normal.
  • ILD interlevel dielectric
  • the method is not limited to full silicidation of the nFET and partial silicidation of the PFET, but is also applicable to forming a fully silicided PFET and a partially silicided nFET, with all appropriate changes being made.
  • the present invention enables a high performance CMOS structure that utilizes metal gate technology for one of an nFET and PFET, while also applying a conventional polysilicon gate electrode technology for the other one of an nFET and PFET.
  • the PFET performance can be further increased using many well-known techniques, such as using stress to improve performance, etc.
  • the inventive structure and method is particularly applicable to dense circuits with spacing between nFET and PFET devices of less than about 200 nm, consistent with 65 nm technology and beyond.

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Thin Film Transistor (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

A MOSFET structure and method of forming is described. The method includes forming a metal-containing layer that is thick enough to fully convert the semiconductor gate stack to a semiconductor metal alloy in a first MOSFET type region but only thick enough to partially convert the semiconductor gate stack to a semiconductor metal alloy in a second MOSFET type region. In one embodiment, the gate stack in a first MOSFET region is recessed prior to forming the metal-containing layer so that the height of the first MOSFET semiconductor stack is less than the height of the second MOSFET semiconductor stack. In another embodiment, the metal-containing layer is thinned over one MOSFET region relative to the other MOSFET region prior to the conversion process.

Description

    TECHNICAL FIELD
  • The present invention relates in general to the manufacture of integrated circuits and, more particularly, to a structure and method of making MOSFET devices having metal gates.
  • BACKGROUND OF THE INVENTION
  • Metal gate technology allows for improved MOSFET device performance over conventional semiconductor MOSFET devices using semiconductor gate electrodes, due to elimination of the depletion layer in the gate; thus, decreasing the electrical inversion oxide thickness, tinv, by about 3-5 Å without incurring a subsequent significant increase in gate oxide leakage current. Typically, semiconductor gate electrodes are formed from polysilicon (poly or poly-Si, amorphous Si, SiGe etc.). MOSFET devices with fully silicided gate electrodes (FUSI gates) allow for thinner electrical inversion oxide thickness, tinv resulting in improved device performance due to increased carrier density in the channel, and also improved control over short-channel effects. Recently, it has been shown that pre-doping of a polysilicon gate electrode along with a high temperature anneal to drive the dopant atoms to the dielectric interface, prior to the silicidation reaction will adjust the workfunction of the resulting metal electrode. As a result, reducing the threshold voltage via compensating channel implant is not required and surface-channel MOSFET operation can be achieved. Specifically, polysilicon gates pre-doped with Antimony (Sb), a well-known n-type dopant, at high doses approaching 4×1015 cm−3 similar to a standard polysilicon gate pre-doping step, then properly annealed at high temperatures, and finally fully silicided using Ni as the starting material, has a workfunction shift compared to an undoped NiSi gate from mid-gap to roughly 120 meV from the conduction band edge. On the other hand, a p-type dopant has yet to be found that can significantly shift the workfunction towards the valence band edge; thus the technique of pre-doping fully silicided gates is less effective for PFET devices. Using current methods, in order to obtain a workfunction that is within 200 meV from the valence band edge, a different metal silicide material, for example, using a NiPt alloy with a 30% Pt concentration, may be required. The use of different processes for silicidation of the nFET and PFET gate conductors makes integration of both nFET and PFET devices difficult, especially in tightly packed memory cells. Hereinafter, for convenience, the use of the term silicidation is meant to include any process of forming a semiconductor metal alloy, the term silicide is meant to include any such resulting semiconductor metal alloy and the term silicided is meant to include any appropriate semiconductor that has been converted to a semiconductor metal alloy, and is not meant to be limited to processes or materials involving only silicon semiconductors.
  • Accordingly, it would be desirable to provide a structure and method for cost effective integration of fully silicided (FUSI) MOSFET devices in dense layouts that takes advantage of improved performance of FUSI gates without a significant adverse impact on the electrical properties of the MOSFETs.
  • SUMMARY OF THE INVENTION
  • It is an objective of the present invention to provide a structure and a method for making the structure that leverages improved performance of metal gates achieved via full silicidation (FUSI) of a semiconductor gate without disrupting the electrical properties of the transistor.
  • It is a further objective of the present invention to provide a cost effective method for integration of one of a fully silicided nFET or PFET, while not adversely impacting the electrical properties of the other one of the nFET and PFET.
  • It is yet a further objective of the present invention to provide a structure and cost effective method of making and integrating the structure including a fully silicided FET of a first type (for example, an nFET or PFET) with a partially silicided FET of a second type. For example, if the nFET has a fully silicided gate, the PFET is formed with a partially silicided gate, or vice versa as desired.
  • It is a further objective of the present invention to provide fully silicided (FUSI) nFET devices and a method for making and integrating the FUSI nFET devices with PFET devices having partially silicided gate electrodes, such that both nFET and PFET devices have threshold voltages similar to a standard gate electrode.
  • It is yet a further objective of the present invention to provide FUSI nFET and PFET devices that can be integrated in densely packed circuits with spacing between nFET and PFET devices less than about 200 nm.
  • According to one aspect of the present invention, a method is provided of forming a semiconductor structure comprising: providing a structure comprising a gate stack in an nFET region and a gate stack in a PFET region, where the gate stacks each comprise a semiconductor layer, and the structure further comprises a planarized dielectric layer formed over the gate stacks in the nFET and PFET regions; removing portions of the planarized dielectric layer to expose the semiconductor layers of the gate stacks; forming a metal-containing layer in contact with the exposed semiconductor layers of the gate stacks, wherein the metal-containing layer is thick enough to fully silicide the semiconductor layer of the gate stack in a first one of the nFET region and PFET region but not thick enough to fully silicide the semiconductor layer in a second of the nFET and PFET region; and forming a fully silicided gate conductor from the metal-containing layer in contact with the semiconductor layer of the gate stack in the first one of the nFET region and PFET region while forming a partially silicided gate conductor from the metal-containing layer in contact with the semiconductor layer of the gate stack in the second one of the nFET region and PFET region.
  • In one embodiment of the present invention, prior to forming the metal-containing layer, the semiconductor layer of the gate stack in the first one of the nFET region and the PFET region is recessed to a height that is less than the height of the semiconductor layer of the gate stack in the second one of the nFET and PFET region. Preferably, the recessing of the semiconductor layer of the gate stack in the first one of the nFET region and the PFET region comprises an anisotropic etch, such as RIE, of the semiconductor layer selective to the planarized dielectric layer.
  • According to another embodiment of the present invention, the metal-containing layer is thinned over the second one of the nFET and the PFET region relative to the thickness of the metal-containing layer over the first one of the nFET region and the PFET region. The thinning of the metal containing layer is preferably performed using a wet etch.
  • According to yet another aspect of the invention, a semiconductor structure is described comprising: a first one of an nFET device and a PFET device comprising a partially-silicided gate conductor including a lower gate conductor portion comprising a semiconductor layer and an upper silicide gate conductor portion atop said lower gate conductor portion; and a second one of an nFET device and a PFET device comprising a fully-silicided gate conductor having a height less than the height of said partially-silicided gate conductor of said first one of said nFET device and PFET device. In a preferred embodiment, the semiconductor structure of the invention includes a PFET device and an nFET device that are spaced apart at a distance less than 200 nm.
  • According to yet another aspect of the invention, a semiconductor structure comprising an nFET device comprising a fully-silicided gate conductor and a PFET device comprising a partially-silicided gate conductor is formed by a method comprising: providing a structure comprising a gate stack in an nFET region and a gate stack in a PFET region, where the gate stacks each comprise a semiconductor layer, and the structure further comprises a planarized dielectric layer formed over the gate stacks in the nFET and PFET regions; removing portions of the planarized dielectric layer to expose said semiconductor layers of said gate stacks; forming a metal-containing layer in contact with the exposed semiconductor layers of the gate stacks, wherein the metal-containing layer is thick enough to fully silicide the semiconductor layer of the gate stack in the nFET region but not thick enough to fully silicide the semiconductor layer in the PFET region; and forming a fully silicided gate conductor from the metal-containing layer in contact with the semiconductor layer of the gate stack in the nFET region while forming a partially silicided gate conductor from the metal-containing layer in contact with the semiconductor layer of the gate stack in the PFET region.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • These and other features, aspects, and advantages will be more readily apparent and better understood from the following detailed description of the invention, with reference to the following figures wherein like designations denote like elements, which are not necessarily drawn to scale.
  • FIGS. 1 through 10 illustrate process steps used to form one embodiment of the invention.
  • FIGS. 11 through 15 illustrate process steps used to form a second embodiment of the present invention.
  • DETAILED DESCRIPTION
  • The present invention, which provides structures and methods for integrating MOSFET devices of a first type (e.g. nFET) having fully silicided gate electrodes with MOSFET devices of a second type (e.g. PFET) having partially silicided gate electrodes, will now be described in more detail by referring to the drawings that accompany the present application.
  • In accordance with the present invention, a process flow is provided whereby the MOSFET devices of the first type include fully silicided gate electrodes, and the MOSFET devices of the second type have partially silicided electrodes such that both devices have threshold voltages similar to a standard polysilicon gate electrode approach. The technique described in this disclosure can be applied to densely packed circuits with gate pitch less than about 200 nm. In the exemplary embodiments described hereinafter, nFETs are implemented with fully silicided gate electrodes while the pFETs are implemented with partially silicided gate electrodes, but the present invention is not intended to be limited to those embodiments, but is similarly applicable to fully silicided PFET gate electrodes integrated with partially silicided nFET gate electrodes. The structure described is applicable to dense circuits, with gate pitch on the order of 200 nm, consistent with the 65 nm technology node, and is extendable to future technology generations.
  • Reference is made to FIG. 1 which illustrates an initial semiconductor wafer substrate 10, which can include, but is not limited to, a bulk silicon-containing substrate, a silicon-on-insulator (SOI) wafer. The silicon or silicon-containing substrate can include semiconductor materials such as, but not limited to: Si, SiGe, SiC, and SiGeC, that can be employed in the present invention. Specifically, the initial wafer 10 of FIG. 1 comprises an n-doped well region 11 and a p-doped well region 12. A gate dielectric layer 18 is formed atop the substrate 10, and an isolation region 13 may be formed in the substrate 10. The isolation region 13 may be formed using by any method currently known or developed in the future, including conventional lithography and etching processes to form trenches which are subsequently filled with a dielectric such as TEOS (tetraethylorthosilicate) or oxide using a process such as chemical vapor deposition (CVD) or plasma CVD, followed by planarization, such as chemical-mechanical polishing (CMP). A gate dielectric layer 18 is formed atop the substrate, utilizing a conventional thermal growing process or by deposition. The gate dielectric 18 is typically a thin layer having a thickness of from about 1 to about 10 nm. The gate dielectric may be composed of an oxide including, but not limited to: SiO2, oxynitides, Al2O3, ZrO2, HfO2, Ta2O3, TiO2, perovskite-type oxides, silicates and combinations of the above with or without the addition of nitrogen. The gate dielectric may be formed using a thermal growing process or by deposition.
  • After forming the gate dielectric on the exposed surface of the structure, gate stacks 25 and 35 are formed over the n-doped well region 11 and p-doped well region 12, respectively, as illustrated in FIG. 2. The p-FET device region 30 comprises the gate stack 25 formed on the n-doped region, and the n-FET device region 40 comprises the gate stack 35 formed on the p-doped region 12.
  • The gate stacks 25, 35 may comprise one or more semiconductor layers 20, 22, including, but not limited to semiconductor materials such as polysilicon, Ge, SiGe, SiC, SiGeC, or the like, which may include a semiconductor that is doped, for example, with a p+ type dopant in the PFET region 30 and with an n+ type dopant in the nFET region 40. The gate stacks 25, 35 may include a hardmask layer 24, 26, typically comprising a nitride such as silicon nitride. The gate stacks 25, 35 may be formed by depositing semiconductor layers and hardmask layers and utilizing patterning methods such as conventional lithography and etching so as to provide a plurality of patterned stack regions atop the wafer 10.
  • Referring to FIG. 3 source/drain areas shown in regions 28, 29 are formed into doped regions 11,12 by utilizing conventional ion implantation, such as n+ type dopants in the source/drain regions 28 in the n-type well 11 of the PFET region 30, and p+ type dopants in the source/drain regions 29 in the p-type well 12 of the nFET region 40. This is followed by annealing, for example at temperatures in the range from about 1000 to 1100° C. to activate the source/ drain regions 28, 29. Insulating spacers 27 are then formed on each exposed vertical sidewall surface of the patterned stack regions 25, 35 by first depositing an insulating material, such as a nitride or oxynitride, and then selectively etching the insulator material. The spacer 27 may comprise multiple spacers and materials, for example, spacers 27 may include an inner spacer comprising a nitride and an outer spacer comprising an oxide. FIG. 3 shows the structure after the above processing steps have been performed.
  • Referring now to FIG. 4, suicide regions 32 on the source/ drain areas 28, 29 are formed. In a preferred embodiment, a self-aligned salicide process is used to form the suicide regions 32. In a preferred embodiment, a metal such as nickel is deposited, for example by a suitable method such as sputtering, PECVD, electron beam evaporation, or the like. Any metal may be used that is capable of forming a metal silicide when in contact with silicon and subjected to annealing. Suitable metals include, but are not limited to: Co, Ni, Ti, W, Mo, Ta and the like. Preferred metals include Ni, Co and Ti, with nickel most preferred. This is followed by a rapid thermal anneal (RTA) at temperatures depending on the metal, for example, if the metal is Ni, the temperature is preferably in the range of 300-600° C. to form nickel silicide regions 32. Subsequently, any unreacted metal is removed, resulting in the structure illustrated in FIG. 4.
  • Next, referring to FIG. 5, a thin dielectric layer 52 is formed over the structure covering the nFET region 40 and PFET region 30 including the silicide regions 32. The thin dielectric layer 52 will act to protect the silicide regions 32 during a subsequent reactive ion etch (RIE) process. Preferably the thin dielectric layer 52 is a nitride, having a thickness of about 10-30 nm. Subsequently, a second dielectric layer 54 is formed atop the thin dielectric layer 52. In a preferred embodiment, the thick dielectric layer 54 is an oxide. The thick dielectric layer 54 is thicker than the height of the gate stacks 25, 35, preferably about 1.5 to 3 times thicker than the height of the gate stacks, and more preferably about 2 to 3 times the height of the gate stacks 25, 35. The resulting structure is illustrated in FIG. 5.
  • The wafer is then planarized, for example, by chemically-mechanically polished (CMP), as shown in FIG. 6, so that the thick dielectric layer 54 is planarized and still covers the gate stacks 25, 35. The thick dielectric layer 54 (typically oxide), the thin dielectric layer 52 (typically nitride), the hardmask 24 (typically nitride), and the spacers 27 (typically a combination of oxide and nitride) are then anisotropically etched, for example using RIE 71, to expose the semiconductor gate electrodes 20, 22 (typically polysilicon). There may be some topology since typically the etch rates for oxide and nitride are different, as long as the etch process stops on the polysilicon. The resulting structure is illustrated in FIG. 7.
  • Referring to FIG. 8, next, the PFET area 30 is blocked with photo-resist layer 60 using an existing lithography reticle in the technology. Note that the present invention doesn't require the introduction of a new reticle into this step of the process. Then the nFET semiconductor gate 22 (typically polysilicon) is thinned down using a dry anistropic etch, e.g. RIE, selective to the dielectric layers 54, 52 and spacers 27 (typically selective to nitride and oxide). The nFET semicondutor gate electrode 22 is preferably thinned to from about one half to about one third the initial thickness. The resulting nFET gate electrode is preferably sufficiently thin to enable full silicidation of the nFET gate electrode 22, but not the PFET gate electrode 20. The RIE is preferably performed so that the resulting structure is not attacked laterally, which is an important consideration for densely packed gate lines (<200 nm pitch).
  • Referring to FIG. 9, the photo-resist is then removed, for example by wet etch, and next, a metal-containing layer 56 is deposited to contact the exposed surface of the semiconductor gate electrodes 20, 22, which will subsequently be reacted with the gate electrodes 20, 22. Preferably, the metal-containing layer 56 is substantially uniform in composition across both the nFET 30 and PFET 40 regions. The metal-containing layer 56 may include any metal that is capable of forming a semiconductor metal alloy when in contact with the semiconductor, which reaction is typically referred to as silicidation when the semiconductor material is silicon. Hereinafter, the term “silicidation” is used to refer to the process of forming a semiconductor metal alloy from the reaction of a semiconductor material, including, but not limited to Si, Ge, SiGe, SiC, SiGeC, GaAs and the like, with a metal, including, but not limited to Co, Ni, Ti, W, Mo, Ta and the like. Preferably, the metal is selected so that resulting semiconductor metal alloy (hereinafter, for convenience, referred to as a “silicide”) has a work function that is similar to that of a heavily doped semiconductor, such as heavily doped polysilicon. For polysilicon gate electrodes, preferred metals include Ni, Co and Ti, with nickel most preferred. The metal layer 56 is deposited at a thickness that is thick enough to fully silicide the remaining nFET gate electrode 22, but not fully silicide the PFET gate electrode 20.
  • Next the structure is subjected to a rapid thermal anneal (RTA) to react the metal layer 56 with gate electrodes 20,22. The RTA is performed at temperatures depending on the semiconductor material and the metal. For polysilicon gate electrodes with nickel, a temperature ranging from 300-600° C. is preferred, while for Co, the preferred temperature ranges from 550-750° C.. In this embodiment, since the PFET polysilicon 20 is thicker than the nFET polysilicon 22, the resulting salicide process partially consumes the PFET electrode 20, while the nFET electrode 22 is fully consumed, forming a fully-silicided nFET gate electrode 62 as shown in FIG. 10. The PFET gate stack is partially silicided, including a silicide portion 64 and unreacted portion 20. An interlevel dielectric (ILD) layer 70 is typically formed over the structure, having a thickness ranging from about 400 to 500 nm, and the nFET and PFET devices are completed as normal.
  • In another embodiment, a fully silicided nFET and partially-slicided PFET gates, is achieved using wet-etching instead of dry. Specifically referring to FIG. 11, immediately following the sequence of the embodiment described above starting at FIG. 7, a metal-containing layer 56, preferably containing Ni, is deposited at this point with thickness that is sufficient to fully-silicide the semiconductor (e.g. polysilicon) gates 20, 22. Next, the nFET area 40 is blocked with photo-resist layer 63 using an existing lithography reticle in the technology as shown in FIG. 12. Note that the present invention doesn't require the introduction of a new reticle into this step of the process. Next the metal-containing layer 56 is exposed to a dilute wet etch that removes metal from the p-FET areas, thinning it to a thickness such that the final resulting gate is not fully silicided following thermal reaction, as shown in FIG. 13. Note since wet etch processes are isotropic, lateral etching will occur. The photo-resist is then wet etched away, leaving the metal-containing layer 56 over the nFET region 40, but which has been thinned over the PFET region 30.
  • Referring to FIG. 14, the structure is subjected to a rapid thermal anneal (RTA) to react the metal layer 56 with the gate electrodes 20, 22. The temperature will depend on the reaction, for example, for a polysilicon gate electrode with Ni, the RTA is preferably performed in a temperature range 300-600° C. If the metal is Co, the preferred RTA temperature is in the range 550-750° C.. Since the metal-containing layer 56 is thicker over the nFET areas 40 than over the PFET areas 30, the resulting nFET gate 35 is fully silicided having metal converted area 66, but the PFET gate 25 is partially silicided having a metal converted area 65 formed over polysilicon 20 conductor.
  • Finally, an interlevel dielectric (ILD) layer 70 is typically formed over the structure, typically having a thickness ranging from about 400 to 500 nm, as illustrated in FIG. 15. Subsequently, the nFET 35 and PFET 25 devices may be completed as normal.
  • In accordance with the invention, the method is not limited to full silicidation of the nFET and partial silicidation of the PFET, but is also applicable to forming a fully silicided PFET and a partially silicided nFET, with all appropriate changes being made.
  • The present invention enables a high performance CMOS structure that utilizes metal gate technology for one of an nFET and PFET, while also applying a conventional polysilicon gate electrode technology for the other one of an nFET and PFET. In the case of a fully silicided nFET and partially silicided PFET, the PFET performance can be further increased using many well-known techniques, such as using stress to improve performance, etc. The inventive structure and method is particularly applicable to dense circuits with spacing between nFET and PFET devices of less than about 200 nm, consistent with 65 nm technology and beyond.
  • While the invention has been described in accordance with certain preferred embodiments thereof, those skilled in the art will understand the many modifications and enhancements which can be made thereto without departing from the true scope and spirit of the invention, which is limited only by the claims appended below.

Claims (8)

1-13. (canceled)
14. A semiconductor structure comprising:
a first type MOSFET device comprising a fully-converted semiconductor metal alloy gate conductor; and
a second type MOSFET device comprising a partially-converted semiconductor metal alloy gate conductor including a lower gate conductor portion comprising a semiconductor layer and an upper semiconductor metal alloy gate conductor portion atop said lower gate conductor portion,
wherein said fully-converted semiconductor metal alloy gate conductor of said first type MOSFET device has a height less than the height of said partially-converted semiconductor metal alloy gate conductor of said second type MOSFET device.
15. The semiconductor structure of claim 14 wherein said first type MOSFET device and said second type MOSFET device are spaced apart at a distance less than 200 nm.
16. The semiconductor structure of claim 14 wherein said fully-converted semiconductor metal alloy gate conductor of said first type MOSFET device and said upper semiconductor metal alloy gate conductor portion of said second type MOSFET comprise nickel silicide.
17. The semiconductor structure of claim 14 formed by a method comprising the steps:
providing a structure comprising a gate stack in a first type MOSFET region and a gate stack in a second type MOSFET region, where said gate stacks each comprise a semiconductor layer, and said structure further comprising a planarized dielectric layer formed over said gate stacks in said first type and second type MOSFET regions;
removing portions of said planarized dielectric layer to expose said semiconductor layers of said gate stacks;
forming a metal-containing layer in contact with said exposed portions of said semiconductor layers of said gate stacks, wherein said metal-containing layer is thick enough to fully convert to a semiconductor metal alloy said semiconductor layer of said gate stack in said first type MOSFET region but not thick enough to fully convert to a semiconductor metal alloy said semiconductor layer of said gate stack in said second type MOSFET region;
forming a fully converted gate conductor from said metal-containing layer in contact with said semiconductor layer of said gate stack in said first type MOSFET region while forming a partially converted gate conductor from said metal-containing layer in contact with said semiconductor layer of said gate stack in said second type MOSFET region.
18. The semiconductor structure of claim 17 formed by said method further comprising, prior to forming said metal-containing layer, recessing said semiconductor layer of said gate stack in said first type MOSFET region to a height that is less than the height of said semiconductor layer of said gate stack in said second type MOSFET region.
19. (canceled)
20. The structure of claim 14 wherein said first type MOSFET device is an nFET device and said second type MOSFET device is a pFET device.
US11/537,718 2005-08-01 2006-10-02 Metal gate mosfet by full semiconductor metal alloy conversion Abandoned US20070034967A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US11/537,718 US20070034967A1 (en) 2005-08-01 2006-10-02 Metal gate mosfet by full semiconductor metal alloy conversion

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/161,372 US7151023B1 (en) 2005-08-01 2005-08-01 Metal gate MOSFET by full semiconductor metal alloy conversion
US11/537,718 US20070034967A1 (en) 2005-08-01 2006-10-02 Metal gate mosfet by full semiconductor metal alloy conversion

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US11/161,372 Division US7151023B1 (en) 2005-08-01 2005-08-01 Metal gate MOSFET by full semiconductor metal alloy conversion

Publications (1)

Publication Number Publication Date
US20070034967A1 true US20070034967A1 (en) 2007-02-15

Family

ID=37526554

Family Applications (2)

Application Number Title Priority Date Filing Date
US11/161,372 Expired - Fee Related US7151023B1 (en) 2005-08-01 2005-08-01 Metal gate MOSFET by full semiconductor metal alloy conversion
US11/537,718 Abandoned US20070034967A1 (en) 2005-08-01 2006-10-02 Metal gate mosfet by full semiconductor metal alloy conversion

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US11/161,372 Expired - Fee Related US7151023B1 (en) 2005-08-01 2005-08-01 Metal gate MOSFET by full semiconductor metal alloy conversion

Country Status (7)

Country Link
US (2) US7151023B1 (en)
EP (1) EP1911088A4 (en)
JP (1) JP2009503902A (en)
KR (1) KR101027107B1 (en)
CN (1) CN101233611A (en)
TW (1) TW200725750A (en)
WO (1) WO2007016514A2 (en)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110151635A1 (en) * 2009-12-21 2011-06-23 Chung-Shi Liu High temperature gate replacement process
US20110198670A1 (en) * 2009-02-05 2011-08-18 Globalfoundries Inc. METHOD TO REDUCE MOL DAMAGE ON NiSi
CN103094086A (en) * 2011-10-31 2013-05-08 中芯国际集成电路制造(上海)有限公司 Formation method of complementary metal oxide semi-conductor transistor (CMOS)
US20130288438A1 (en) * 2011-12-19 2013-10-31 Jacob Jensen Selective laser annealing process for buried regions in a mos device
US20150249036A1 (en) * 2014-03-03 2015-09-03 International Business Machines Corporation Methods of forming different spacer structures on integrated circuit products having differing gate pitch dimensions and the resulting products
US20220328473A1 (en) * 2019-07-12 2022-10-13 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device for a low-loss antenna switch

Families Citing this family (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006344836A (en) * 2005-06-09 2006-12-21 Matsushita Electric Ind Co Ltd Semiconductor apparatus and manufacturing method thereof
JP2007157744A (en) * 2005-11-30 2007-06-21 Toshiba Corp Semiconductor device, and process for fabricating same
US7732878B2 (en) * 2006-10-18 2010-06-08 Taiwan Semiconductor Manufacturing Company, Ltd. MOS devices with continuous contact etch stop layer
US7550808B2 (en) * 2007-01-18 2009-06-23 International Business Machines Corporation Fully siliciding regions to improve performance
US20080206973A1 (en) * 2007-02-26 2008-08-28 Texas Instrument Inc. Process method to optimize fully silicided gate (FUSI) thru PAI implant
US7737015B2 (en) * 2007-02-27 2010-06-15 Texas Instruments Incorporated Formation of fully silicided gate with oxide barrier on the source/drain silicide regions
JP5117740B2 (en) * 2007-03-01 2013-01-16 ルネサスエレクトロニクス株式会社 Manufacturing method of semiconductor device
US7768072B2 (en) * 2007-03-27 2010-08-03 Taiwan Semiconductor Manufacturing Company, Ltd. Silicided metal gate for multi-threshold voltage configuration
US7678694B2 (en) * 2007-04-18 2010-03-16 Taiwan Semicondutor Manufacturing Company, Ltd. Method for fabricating semiconductor device with silicided gate
US7960243B2 (en) * 2007-05-31 2011-06-14 Freescale Semiconductor, Inc. Method of forming a semiconductor device featuring a gate stressor and semiconductor device
KR100897818B1 (en) * 2007-06-08 2009-05-15 주식회사 동부하이텍 Fully silicide silicon gate and method for fabricating the same
US7785952B2 (en) * 2007-10-16 2010-08-31 International Business Machines Corporation Partially and fully silicided gate stacks
US7749847B2 (en) * 2008-02-14 2010-07-06 International Business Machines Corporation CMOS integration scheme employing a silicide electrode and a silicide-germanide alloy electrode
US8866254B2 (en) * 2008-02-19 2014-10-21 Micron Technology, Inc. Devices including fin transistors robust to gate shorts and methods of making the same
US9190494B2 (en) * 2008-02-19 2015-11-17 Micron Technology, Inc. Systems and devices including fin field-effect transistors each having U-shaped semiconductor fin
US7742324B2 (en) * 2008-02-19 2010-06-22 Micron Technology, Inc. Systems and devices including local data lines and methods of using, making, and operating the same
US7915659B2 (en) 2008-03-06 2011-03-29 Micron Technology, Inc. Devices with cavity-defined gates and methods of making the same
US7723192B2 (en) * 2008-03-14 2010-05-25 Advanced Micro Devices, Inc. Integrated circuit long and short channel metal gate devices and method of manufacture
US7808042B2 (en) 2008-03-20 2010-10-05 Micron Technology, Inc. Systems and devices including multi-gate transistors and methods of using, making, and operating the same
US7898857B2 (en) * 2008-03-20 2011-03-01 Micron Technology, Inc. Memory structure having volatile and non-volatile memory portions
US8546876B2 (en) * 2008-03-20 2013-10-01 Micron Technology, Inc. Systems and devices including multi-transistor cells and methods of using, making, and operating the same
US7969776B2 (en) 2008-04-03 2011-06-28 Micron Technology, Inc. Data cells with drivers and methods of making and operating the same
US8076229B2 (en) * 2008-05-30 2011-12-13 Micron Technology, Inc. Methods of forming data cells and connections to data cells
US8237233B2 (en) * 2008-08-19 2012-08-07 International Business Machines Corporation Field effect transistor having a gate structure with a first section above a center portion of the channel region and having a first effective work function and second sections above edges of the channel region and having a second effective work function
US8148776B2 (en) 2008-09-15 2012-04-03 Micron Technology, Inc. Transistor with a passive gate
KR20100046888A (en) * 2008-10-28 2010-05-07 삼성전자주식회사 Method of forming gate electrode of semiconductor device
US8680629B2 (en) 2009-06-03 2014-03-25 International Business Machines Corporation Control of flatband voltages and threshold voltages in high-k metal gate stacks and structures for CMOS devices
US8274116B2 (en) 2009-11-16 2012-09-25 International Business Machines Corporation Control of threshold voltages in high-k metal gate stack and structures for CMOS devices
CN102097376B (en) * 2009-12-10 2013-05-01 中芯国际集成电路制造(上海)有限公司 Method for manufacturing semiconductor device
US8294511B2 (en) 2010-11-19 2012-10-23 Micron Technology, Inc. Vertically stacked fin transistors and methods of fabricating and operating the same
US8698245B2 (en) 2010-12-14 2014-04-15 International Business Machines Corporation Partially depleted (PD) semiconductor-on-insulator (SOI) field effect transistor (FET) structure with a gate-to-body tunnel current region for threshold voltage (VT) lowering and method of forming the structure
US8647952B2 (en) * 2010-12-21 2014-02-11 Globalfoundries Inc. Encapsulation of closely spaced gate electrode structures
CN103515319B (en) * 2012-06-20 2015-08-19 中芯国际集成电路制造(上海)有限公司 Form the method for CMOS full-silicide metal gate
US9524965B2 (en) 2014-02-12 2016-12-20 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structures with various widths and method for forming the same
US9147683B2 (en) 2014-02-18 2015-09-29 International Business Machines Corporation CMOS transistors including gate spacers of the same thickness
US9484346B2 (en) 2014-10-15 2016-11-01 Taiwan Semiconductor Manufacturing Company Ltd Semiconductor structure and manufacturing method thereof

Citations (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6166417A (en) * 1998-06-30 2000-12-26 Intel Corporation Complementary metal gates and a process for implementation
US6512269B1 (en) * 2000-09-07 2003-01-28 International Business Machines Corporation High-voltage high-speed SOI MOSFET
US6562718B1 (en) * 2000-12-06 2003-05-13 Advanced Micro Devices, Inc. Process for forming fully silicided gates
US6573149B2 (en) * 1999-12-03 2003-06-03 Agere Systems Inc. Semiconductor device having a metal gate with a work function compatible with a semiconductor device
US20040094804A1 (en) * 2002-11-20 2004-05-20 International Business Machines Corporation Method and process to make multiple-threshold metal gates CMOS technology
US6787857B2 (en) * 1999-07-08 2004-09-07 Samsung Electronics Co., Ltd. Contact structure a semiconductor device and manufacturing method thereof
US20050064636A1 (en) * 2003-09-24 2005-03-24 Cyril Cabral Method and apparatus for fabricating CMOS field effect transistors
US20050064690A1 (en) * 2003-09-18 2005-03-24 International Business Machines Corporation Process options of forming silicided metal gates for advanced cmos devices
US6927117B2 (en) * 2003-12-02 2005-08-09 International Business Machines Corporation Method for integration of silicide contacts and silicide gate metals
US20050269634A1 (en) * 2004-06-04 2005-12-08 International Business Machines Corporation Selective implementation of barrier layers to achieve threshold voltage control in CMOS device fabrication with high k dielectrics
US20060022280A1 (en) * 2004-07-14 2006-02-02 International Business Machines Corporation Formation of fully silicided metal gate using dual self-aligned silicide process
US7022559B2 (en) * 1998-09-30 2006-04-04 Intel Corporation MOSFET gate electrodes having performance tuned work functions and methods of making same
US7056782B2 (en) * 2004-02-25 2006-06-06 International Business Machines Corporation CMOS silicide metal gate integration
US20060121663A1 (en) * 2004-12-02 2006-06-08 International Business Machines Corporation Method for forming self-aligned dual fully silicided gates in cmos devices
US7071087B2 (en) * 2004-04-14 2006-07-04 Witty Mate Corporation Technique to grow high quality ZnSe epitaxy layer on Si substrate

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS59125650A (en) * 1983-01-07 1984-07-20 Toshiba Corp Semiconductor device and manufacture thereof
US6037639A (en) * 1997-06-09 2000-03-14 Micron Technology, Inc. Fabrication of integrated devices using nitrogen implantation
JP3232043B2 (en) * 1997-06-30 2001-11-26 株式会社東芝 Method for manufacturing semiconductor device
JP3287403B2 (en) * 1999-02-19 2002-06-04 日本電気株式会社 MIS field-effect transistor and method of manufacturing the same
US20050056881A1 (en) * 2003-09-15 2005-03-17 Yee-Chia Yeo Dummy pattern for silicide gate electrode
JP2005228761A (en) * 2004-02-10 2005-08-25 Rohm Co Ltd Semiconductor device and its manufacturing method
JP2005353655A (en) * 2004-06-08 2005-12-22 Sanyo Electric Co Ltd Manufacturing method of semiconductor device
WO2006018762A2 (en) * 2004-08-13 2006-02-23 Koninklijke Philips Electronics N.V. Dual gate cmos fabrication
JP4181537B2 (en) * 2004-11-12 2008-11-19 株式会社東芝 Semiconductor device and manufacturing method thereof
JP2006278369A (en) * 2005-03-28 2006-10-12 Fujitsu Ltd Method of manufacturing semiconductor device
JP5015446B2 (en) * 2005-05-16 2012-08-29 アイメック Method for forming double fully silicided gates and device obtained by said method

Patent Citations (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6166417A (en) * 1998-06-30 2000-12-26 Intel Corporation Complementary metal gates and a process for implementation
US7022559B2 (en) * 1998-09-30 2006-04-04 Intel Corporation MOSFET gate electrodes having performance tuned work functions and methods of making same
US6787857B2 (en) * 1999-07-08 2004-09-07 Samsung Electronics Co., Ltd. Contact structure a semiconductor device and manufacturing method thereof
US6573149B2 (en) * 1999-12-03 2003-06-03 Agere Systems Inc. Semiconductor device having a metal gate with a work function compatible with a semiconductor device
US6512269B1 (en) * 2000-09-07 2003-01-28 International Business Machines Corporation High-voltage high-speed SOI MOSFET
US6562718B1 (en) * 2000-12-06 2003-05-13 Advanced Micro Devices, Inc. Process for forming fully silicided gates
US20040094804A1 (en) * 2002-11-20 2004-05-20 International Business Machines Corporation Method and process to make multiple-threshold metal gates CMOS technology
US6846734B2 (en) * 2002-11-20 2005-01-25 International Business Machines Corporation Method and process to make multiple-threshold metal gates CMOS technology
US20050064690A1 (en) * 2003-09-18 2005-03-24 International Business Machines Corporation Process options of forming silicided metal gates for advanced cmos devices
US20050064636A1 (en) * 2003-09-24 2005-03-24 Cyril Cabral Method and apparatus for fabricating CMOS field effect transistors
US6927117B2 (en) * 2003-12-02 2005-08-09 International Business Machines Corporation Method for integration of silicide contacts and silicide gate metals
US7056782B2 (en) * 2004-02-25 2006-06-06 International Business Machines Corporation CMOS silicide metal gate integration
US7071087B2 (en) * 2004-04-14 2006-07-04 Witty Mate Corporation Technique to grow high quality ZnSe epitaxy layer on Si substrate
US20050269634A1 (en) * 2004-06-04 2005-12-08 International Business Machines Corporation Selective implementation of barrier layers to achieve threshold voltage control in CMOS device fabrication with high k dielectrics
US20060022280A1 (en) * 2004-07-14 2006-02-02 International Business Machines Corporation Formation of fully silicided metal gate using dual self-aligned silicide process
US20060121663A1 (en) * 2004-12-02 2006-06-08 International Business Machines Corporation Method for forming self-aligned dual fully silicided gates in cmos devices

Cited By (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8330235B2 (en) * 2009-02-05 2012-12-11 Globalfoundries Inc. Method to reduce mol damage on NiSi
US20110198670A1 (en) * 2009-02-05 2011-08-18 Globalfoundries Inc. METHOD TO REDUCE MOL DAMAGE ON NiSi
US9406776B2 (en) 2009-12-21 2016-08-02 Taiwan Semiconductor Manufacturing Company, Ltd. High temperature gate replacement process
US8664070B2 (en) * 2009-12-21 2014-03-04 Taiwan Semiconductor Manufacturing Company, Ltd. High temperature gate replacement process
TWI485753B (en) * 2009-12-21 2015-05-21 Taiwan Semiconductor Mfg Co Ltd Methods for fabricating integrated circuit devices
US20110151635A1 (en) * 2009-12-21 2011-06-23 Chung-Shi Liu High temperature gate replacement process
CN103094086A (en) * 2011-10-31 2013-05-08 中芯国际集成电路制造(上海)有限公司 Formation method of complementary metal oxide semi-conductor transistor (CMOS)
US20130288438A1 (en) * 2011-12-19 2013-10-31 Jacob Jensen Selective laser annealing process for buried regions in a mos device
US9196704B2 (en) * 2011-12-19 2015-11-24 Intel Corporation Selective laser annealing process for buried regions in a MOS device
US20150249036A1 (en) * 2014-03-03 2015-09-03 International Business Machines Corporation Methods of forming different spacer structures on integrated circuit products having differing gate pitch dimensions and the resulting products
US9536877B2 (en) * 2014-03-03 2017-01-03 Globalfoundries Inc. Methods of forming different spacer structures on integrated circuit products having differing gate pitch dimensions and the resulting products
US20220328473A1 (en) * 2019-07-12 2022-10-13 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device for a low-loss antenna switch
US12021078B2 (en) 2019-07-12 2024-06-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device for a low-loss antenna switch

Also Published As

Publication number Publication date
WO2007016514A2 (en) 2007-02-08
US7151023B1 (en) 2006-12-19
WO2007016514A3 (en) 2007-04-05
TW200725750A (en) 2007-07-01
EP1911088A4 (en) 2008-11-12
KR20080032220A (en) 2008-04-14
EP1911088A2 (en) 2008-04-16
JP2009503902A (en) 2009-01-29
CN101233611A (en) 2008-07-30
KR101027107B1 (en) 2011-04-05

Similar Documents

Publication Publication Date Title
US7151023B1 (en) Metal gate MOSFET by full semiconductor metal alloy conversion
US8836038B2 (en) CMOS dual metal gate semiconductor device
US7964460B2 (en) Method of manufacturing an NMOS device and a PMOS device
US9269635B2 (en) CMOS Transistor with dual high-k gate dielectric
US7067379B2 (en) Silicide gate transistors and method of manufacture
US7381649B2 (en) Structure for a multiple-gate FET device and a method for its fabrication
US7704844B2 (en) High performance MOSFET
US7820530B2 (en) Efficient body contact field effect transistor with reduced body resistance
US6806534B2 (en) Damascene method for improved MOS transistor
JP2008537359A (en) Gate electrode metal / metal nitride double layer CMOS and semiconductor structures in self-aligned and positively scaled CMOS devices
US10916657B2 (en) Tensile strain in NFET channel
US7105440B2 (en) Self-forming metal silicide gate for CMOS devices
US11257934B2 (en) Fin field-effect transistors with enhanced strain and reduced parasitic capacitance

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION

AS Assignment

Owner name: GLOBALFOUNDRIES U.S. 2 LLC, NEW YORK

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:INTERNATIONAL BUSINESS MACHINES CORPORATION;REEL/FRAME:036550/0001

Effective date: 20150629

AS Assignment

Owner name: GLOBALFOUNDRIES INC., CAYMAN ISLANDS

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:GLOBALFOUNDRIES U.S. 2 LLC;GLOBALFOUNDRIES U.S. INC.;REEL/FRAME:036779/0001

Effective date: 20150910