JP2006278369A - Method of manufacturing semiconductor device - Google Patents

Method of manufacturing semiconductor device Download PDF

Info

Publication number
JP2006278369A
JP2006278369A JP2005090528A JP2005090528A JP2006278369A JP 2006278369 A JP2006278369 A JP 2006278369A JP 2005090528 A JP2005090528 A JP 2005090528A JP 2005090528 A JP2005090528 A JP 2005090528A JP 2006278369 A JP2006278369 A JP 2006278369A
Authority
JP
Japan
Prior art keywords
gate electrode
metal
formation region
semiconductor device
pmos
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP2005090528A
Other languages
Japanese (ja)
Inventor
Kimihiko Hosaka
公彦 保坂
Eiji Yoshida
英司 吉田
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Fujitsu Ltd
Original Assignee
Fujitsu Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Fujitsu Ltd filed Critical Fujitsu Ltd
Priority to JP2005090528A priority Critical patent/JP2006278369A/en
Publication of JP2006278369A publication Critical patent/JP2006278369A/en
Withdrawn legal-status Critical Current

Links

Images

Abstract

<P>PROBLEM TO BE SOLVED: To provide a method of manufacturing a semiconductor device for obtaining an optimum Vth for each transistor of the semiconductor device having a plurality of transistors for controlling the threshold voltage of the semiconductor device. <P>SOLUTION: After a mask 9 is formed at an nMOS formation region and a pMOS formation region, the mask 9 at the pMOS formation region is removed, and a prescribed amount of metal 11 is deposited at the nMOS formation region and the pMOS formation region, thus silicifying a gate electrode 3b at the pMOS formation region fully. In the same manner, a gate electrode 3a at the nMOS formation region is silicified fully by a prescribed amount of metal. The silicide composition of respective gate electrodes 3a, 3b can be controlled each according to the amount of metal to be deposited, thus obtaining an optimum threshold voltage for each transistor. <P>COPYRIGHT: (C)2007,JPO&INPIT

Description

本発明は半導体装置の製造方法に関し、特にサリサイド構造を有する半導体装置の製造方法に関する。   The present invention relates to a method for manufacturing a semiconductor device, and more particularly to a method for manufacturing a semiconductor device having a salicide structure.

近年の半導体装置では、その高速化、微細化、高集積化に伴い、そのトランジスタ構造内におけるソース・ドレイン領域のシート抵抗やコンタクト抵抗を低く抑えるために、シリサイド構造が広く用いられるようになっている。   In recent semiconductor devices, a silicide structure has been widely used in order to keep the sheet resistance and contact resistance of the source / drain region in the transistor structure low with the increase in speed, miniaturization, and high integration. Yes.

シリサイド層は、一般的には、金属を全面に堆積させた後に熱処理を行って形成するため、ソース・ドレイン領域の上に金属がほぼ均一な膜厚で堆積され、ソース・ドレイン領域にほぼ同じ深さで形成される。ソース・ドレイン領域では、しばしばその接合部リークが問題となることがあるが、トランジスタ内におけるソース側とドレイン側での接合部リークに対する許容性の違いから、近年では、ソース側とドレイン側とで深さの異なるシリサイド層を形成する方法も提案されている(特許文献1参照)。   In general, the silicide layer is formed by depositing metal on the entire surface and then performing heat treatment, so that the metal is deposited on the source / drain region with a substantially uniform film thickness and is almost the same as the source / drain region. Formed in depth. In the source / drain region, the junction leakage often becomes a problem, but due to the difference in tolerance for junction leakage between the source side and the drain side in the transistor, the source side and the drain side have recently become different. A method of forming silicide layers having different depths has also been proposed (see Patent Document 1).

この提案では、シリコン基板上にゲート絶縁膜を介してゲート電極を形成し、LDD領域、サイドウォール、ソース領域およびドレイン領域を形成した後、まず、全面にタングステン(W)等の金属を堆積し、次いで、ドレイン領域側にのみ金属窒化物等でシリサイド化の反応抑制層を形成し、再度全面に金属を堆積してアニールを行う方法等が開示されている。このような方法により、ゲート電極表面にシリサイド層を形成すると共に、ドレイン領域側では1回目に堆積した金属を、ソース領域側では1回目と2回目に堆積した金属を、それぞれシリサイド化に寄与させ、ドレイン領域側には浅いシリサイド層を、ソース領域側には深いシリサイド層を、それぞれ形成する試みがなされている。   In this proposal, a gate electrode is formed on a silicon substrate through a gate insulating film, an LDD region, a sidewall, a source region, and a drain region are formed, and then a metal such as tungsten (W) is first deposited on the entire surface. Next, a method is disclosed in which a silicidation reaction suppression layer is formed only on the drain region side with metal nitride or the like, and metal is deposited again on the entire surface and then annealed. By such a method, a silicide layer is formed on the surface of the gate electrode, and the metal deposited for the first time on the drain region side and the metal deposited for the first and second times on the source region side are each contributed to silicidation. Attempts have been made to form a shallow silicide layer on the drain region side and a deep silicide layer on the source region side.

また、ゲート電極には通常その材料にポリシリコンが用いられることが多いが、最近では、その表面だけでなく内部までシリサイド化(「フルシリサイド化」という。)したゲート電極(FUSIゲート)についての報告もなされている。フルシリサイド化を行うと閾値電圧(Vth)がシフトするという問題が発生する場合があるが、そのようなVthシフトは、ゲート電極内のシリコンと金属の組成(「シリサイド組成」という。)を変化させることによって抑えることが可能であることもわかってきている。
特開平9−153557号公報
In addition, polysilicon is often used as the material for the gate electrode, but recently, the gate electrode (FUSI gate) which is silicided not only to the surface but also to the inside (referred to as “full silicidation”) is used. Reports have also been made. When full silicidation is performed, there is a problem that the threshold voltage (V th ) shifts. Such a V th shift causes a composition of silicon and metal in the gate electrode (referred to as “silicide composition”). It has also been found that it can be suppressed by changing the value.
JP-A-9-153557

ところで、例えばシリコン基板にn型MOSトランジスタ(「nMOS」という。)とp型MOSトランジスタ(「pMOS」という。)といった複数のトランジスタを形成する場合、各トランジスタについて所望のVthを得ることが非常に重要になってくる。しかし、従来のような各トランジスタに一括でシリサイド化を行う方法を用いると、各トランジスタのゲート電極にほぼ均一なシリサイド層が形成されるようになるため、各トランジスタについて精度の良いVth制御を行うことは難しい。 By the way, for example, when a plurality of transistors such as an n-type MOS transistor (referred to as “nMOS”) and a p-type MOS transistor (referred to as “pMOS”) are formed on a silicon substrate, it is very important to obtain a desired V th for each transistor. Become important. However, when the conventional method of performing silicidation for each transistor at once is used, a substantially uniform silicide layer is formed on the gate electrode of each transistor, so that accurate V th control is performed for each transistor. Difficult to do.

また、従来は各トランジスタへのイオン注入条件をそれぞれ最適化することによってVthの制御を行ってきた。しかし、半導体装置の性能向上に伴い、そのようなイオン注入による制御も難しくなってきているのが現状である。 Conventionally, the control of V th has been performed by optimizing the ion implantation conditions for each transistor. However, as the performance of semiconductor devices improves, it is difficult to control by such ion implantation.

本発明はこのような点に鑑みてなされたものであり、複数のトランジスタを備えた半導体装置の各トランジスタについて最適なVthを得るための半導体装置の製造方法を提供することを目的とする。 The present invention has been made in view of these points, and an object of the present invention is to provide a semiconductor device manufacturing method for obtaining an optimum V th for each transistor of a semiconductor device having a plurality of transistors.

本発明では上記課題を解決するために、複数のトランジスタを備える半導体装置の製造方法において、半導体基板に複数のゲート電極を形成する工程と、前記複数のゲート電極のうちの一のゲート電極上と他のゲート電極上のそれぞれに所定量の金属を堆積してシリサイド化する工程と、を有することを特徴とする半導体装置の製造方法が提供される。   In the present invention, in order to solve the above problem, in a method of manufacturing a semiconductor device including a plurality of transistors, a step of forming a plurality of gate electrodes on a semiconductor substrate, and a gate electrode on one of the plurality of gate electrodes, And a step of depositing a predetermined amount of metal on each of the other gate electrodes for silicidation.

このような半導体装置の製造方法によれば、複数のゲート電極を形成した後、一のゲート電極上と他のゲート電極上にそれぞれ所定量の金属を堆積し、その金属を用いてゲート電極をシリサイド化する。これにより、各ゲート電極のシリサイド組成をそれぞれ制御することが可能になる。   According to such a method of manufacturing a semiconductor device, after forming a plurality of gate electrodes, a predetermined amount of metal is deposited on one gate electrode and the other gate electrode, and the gate electrode is formed using the metal. Silicidize. Thereby, the silicide composition of each gate electrode can be controlled.

本発明では、複数のトランジスタを備える半導体装置を形成する際に、一のゲート電極上と他のゲート電極上のそれぞれに所定量の金属を堆積し、その金属を用いて各ゲート電極をシリサイド化するようにした。これにより、各ゲート電極のシリサイド組成をそれぞれ制御することが可能になるので、それによって各トランジスタのVthをそれぞれ制御し、各トランジスタについて最適なVthを得ることが可能になる。その結果、複数のトランジスタを備えた半導体装置の高性能化を図ることができるようになる。 In the present invention, when forming a semiconductor device including a plurality of transistors, a predetermined amount of metal is deposited on each of one gate electrode and another gate electrode, and each gate electrode is silicided using the metal. I tried to do it. This makes it possible to control the silicide composition of each gate electrode, thereby controlling the V th of each transistor and obtaining the optimum V th for each transistor. As a result, the performance of a semiconductor device including a plurality of transistors can be improved.

以下、本発明の実施の形態を、pMOSおよびnMOSを備えた半導体装置の形成を例に、図面を参照して詳細に説明する。
まず、第1の実施の形態について説明する。
Hereinafter, embodiments of the present invention will be described in detail with reference to the drawings, taking as an example the formation of a semiconductor device having pMOS and nMOS.
First, the first embodiment will be described.

図1〜図8は第1の実施の形態の半導体装置の形成方法の説明図であって、図1は第1の実施の形態のゲート電極加工工程の要部断面模式図、図2は第1の実施の形態のサイドウォール形成工程の要部断面模式図、図3は第1の実施の形態の選択エピタキシャル成長工程の要部断面模式図、図4は第1の実施の形態のマスク形成工程の要部断面模式図、図5は第1の実施の形態のレジストパターニング工程の要部断面模式図、図6は第1の実施の形態の金属堆積工程の要部断面模式図、図7および図8は第1の実施の形態のシリサイド化工程の要部断面模式図である。以下、第1の実施の形態の半導体装置の形成方法について、図1〜図8を参照して順に説明する。   1 to 8 are explanatory views of a method of forming a semiconductor device according to the first embodiment. FIG. 1 is a schematic cross-sectional view of an essential part of a gate electrode processing step according to the first embodiment. FIG. FIG. 3 is a schematic cross-sectional view of an essential part of a sidewall forming process of the first embodiment, FIG. 3 is a schematic cross-sectional view of an essential part of a selective epitaxial growth process of the first embodiment, and FIG. 4 is a mask forming process of the first embodiment. FIG. 5 is a schematic cross-sectional view of the main part of the resist patterning process of the first embodiment, FIG. 6 is a schematic cross-sectional view of the main part of the metal deposition process of the first embodiment, and FIG. FIG. 8 is a schematic cross-sectional view of an essential part of the silicidation process of the first embodiment. Hereinafter, a method for forming a semiconductor device according to the first embodiment will be described in order with reference to FIGS.

第1の実施の形態の半導体装置の形成方法においては、まず、図1に示すように、例えばシリコン基板1を用い、その所定領域にLOCOS(Local Oxidation of Silicon)法やSTI(Shallow Trench Isolation)法を用いて素子分離領域(図示せず。)を形成する。続いて、そのシリコン基板1の表面を熱酸化し、その上にCVD(Chemical Vapor Deposition)法等を用いてポリシリコンおよび窒化シリコン(SiN)膜を形成する。そして、nMOSおよびpMOSのゲート電極部分を残して窒化シリコン膜、ポリシリコンおよび熱酸化膜の3層をフォトリソグラフィ技術を用いてエッチングする。これにより、nMOS、pMOSが形成される領域(それぞれ「nMOS形成領域」、「pMOS形成領域」という。)のシリコン基板1上にゲート絶縁膜2a,2bを介してポリシリコンからなるゲート電極3a,3bが形成され、その上に窒化シリコンのハードマスク4a,4bが形成された積層構造が得られる。   In the method of forming a semiconductor device according to the first embodiment, first, as shown in FIG. 1, for example, a silicon substrate 1 is used, and a LOCOS (Local Oxidation of Silicon) method or STI (Shallow Trench Isolation) is applied to a predetermined region. An element isolation region (not shown) is formed using a method. Subsequently, the surface of the silicon substrate 1 is thermally oxidized, and a polysilicon and silicon nitride (SiN) film is formed thereon using a CVD (Chemical Vapor Deposition) method or the like. Then, the three layers of the silicon nitride film, the polysilicon, and the thermal oxide film are etched using the photolithography technique while leaving the gate electrodes of the nMOS and pMOS. As a result, the gate electrodes 3a made of polysilicon are formed on the silicon substrate 1 in the regions where the nMOS and pMOS are formed (referred to as “nMOS formation region” and “pMOS formation region”, respectively) via the gate insulating films 2a and 2b. 3b is formed, and a stacked structure in which silicon nitride hard masks 4a and 4b are formed thereon is obtained.

なお、ゲート絶縁膜2a,2bには、熱酸化膜のほか、high−k絶縁膜等を用いることも可能である。
次いで、nMOS形成領域とpMOS形成領域のうちのいずれか一方、例えばpMOS形成領域をレジスト等で覆い、nMOS形成領域に積層構造をマスクにして所定条件でイオン注入を行う。そして、次にもう一方の領域、すなわちこの場合にはnMOS形成領域をレジスト等で覆い、pMOS形成領域に積層構造をマスクにして所定条件でイオン注入を行う。その後、所定条件でアニールを行う。これにより、nMOS形成領域、pMOS形成領域にそれぞれエクステンション領域5a,5bを形成する。
In addition to the thermal oxide film, a high-k insulating film or the like can be used for the gate insulating films 2a and 2b.
Next, one of the nMOS formation region and the pMOS formation region, for example, the pMOS formation region is covered with a resist or the like, and ion implantation is performed on the nMOS formation region under a predetermined condition using the stacked structure as a mask. Then, the other region, i.e., the nMOS formation region in this case is covered with a resist or the like, and ion implantation is performed on the pMOS formation region under a predetermined condition using the stacked structure as a mask. Thereafter, annealing is performed under predetermined conditions. Thereby, extension regions 5a and 5b are formed in the nMOS formation region and the pMOS formation region, respectively.

なお、エクステンション領域5a,5bの形成時には、イオン注入マスクとなる積層構造の側壁に薄いサイドウォール(図示せず。)を形成しておいてからイオン注入およびアニールを行うようにしてもよい。また、エクステンション領域5a,5bと共に、それらに隣接する所定導電型のポケット領域を形成するようにしてもよい。   When the extension regions 5a and 5b are formed, ion implantation and annealing may be performed after forming a thin sidewall (not shown) on the side wall of the laminated structure serving as an ion implantation mask. In addition to the extension regions 5a and 5b, a pocket region of a predetermined conductivity type adjacent to them may be formed.

その後、CVD法等を用いて全面に窒化シリコン膜を形成し、異方性エッチングを行い、図2に示すように、ゲート絶縁膜2a,2b、ゲート電極3a,3bおよびハードマスク4a,4bの側壁にサイドウォール6a,6bを形成する。   Thereafter, a silicon nitride film is formed on the entire surface by CVD or the like, and anisotropic etching is performed. As shown in FIG. 2, the gate insulating films 2a and 2b, the gate electrodes 3a and 3b, and the hard masks 4a and 4b are formed. Side walls 6a and 6b are formed on the side walls.

なお、サイドウォール6a,6bは、酸化シリコン(SiO2)等、他の絶縁材料を用いて形成してもよい。また、例えば、まず全面に薄く酸化シリコン膜を形成しておいてからその上に厚く窒化シリコン膜を形成し、その後、異方性エッチングを行うことによって、内側に酸化シリコン、その外側に窒化シリコンが設けられた二重構造のサイドウォールを構成するようにしてもよい。 The sidewalls 6a and 6b may be formed using other insulating materials such as silicon oxide (SiO 2 ). Also, for example, a thin silicon oxide film is first formed on the entire surface, and then a thick silicon nitride film is formed thereon. Thereafter, anisotropic etching is performed to form silicon oxide on the inside and silicon nitride on the outside. You may make it comprise the double-structured side wall provided.

次いで、図3に示すように、シリコン基板1の露出表面に選択的にシリコンのエピタキシャル成長を行うことにより、nMOS形成領域、pMOS形成領域に選択エピタキシャル層7a,7bを形成する。そして、例えば、ハードマスク4a,4bをリン酸等で除去した後、まずpMOS形成領域をレジスト等で覆ってnMOS形成領域にゲート電極3aおよびサイドウォール6aをマスクにして所定条件でイオン注入を行い、次にnMOS形成領域をレジスト等で覆ってpMOS形成領域にゲート電極3bおよびサイドウォール6bをマスクにして所定条件でイオン注入を行い、その後所定条件でアニールを行う。これにより、nMOS形成領域、pMOS形成領域にそれぞれソース・ドレイン領域8a,8bを形成する。   Next, as shown in FIG. 3, selective epitaxial layers 7 a and 7 b are formed in the nMOS formation region and the pMOS formation region by selectively performing epitaxial growth of silicon on the exposed surface of the silicon substrate 1. For example, after removing the hard masks 4a and 4b with phosphoric acid or the like, first, the pMOS formation region is covered with a resist or the like, and ion implantation is performed on the nMOS formation region with the gate electrode 3a and the sidewall 6a as a mask under predetermined conditions. Next, the nMOS formation region is covered with a resist or the like, and ion implantation is performed on the pMOS formation region using the gate electrode 3b and the side wall 6b as a mask, followed by annealing under the predetermined condition. Thus, source / drain regions 8a and 8b are formed in the nMOS formation region and the pMOS formation region, respectively.

なお、図中、選択エピタキシャル層7a,7bとソース・ドレイン領域8a,8bとは別個に図示しているが、イオン注入およびアニールは選択エピタキシャル層7a,7bに対しても行われ、図中のソース・ドレイン領域8a,8bと共に選択エピタキシャル層7a,7bもnMOS、pMOSのソース・ドレインとしての機能を果たすようになっている。   In the figure, the selective epitaxial layers 7a and 7b and the source / drain regions 8a and 8b are shown separately. However, ion implantation and annealing are also performed on the selective epitaxial layers 7a and 7b. The selective epitaxial layers 7a and 7b together with the source / drain regions 8a and 8b also function as the source / drain of the nMOS and pMOS.

また、ゲート電極3a,3bの膜厚が薄く、後述のシリサイド化によってゲート電極3a,3bをフルシリサイド化したときに同時にソース・ドレイン領域8a,8bに形成されるシリサイド層がpn接合を破ってしまうほどの深さまで形成されてしまう可能性が極めて低いような場合には、選択エピタキシャル層7a,7bは形成しなくても構わない。その場合は、サイドウォール6a,6bの形成後にハードマスク4a,4bを除去し、イオン注入およびアニールを行ってソース・ドレイン領域8a,8bを形成すればよい。   Further, the gate electrodes 3a and 3b are thin, and when the gate electrodes 3a and 3b are fully silicided by silicidation described later, the silicide layers formed in the source / drain regions 8a and 8b simultaneously break the pn junction. In the case where there is a very low possibility that the layer will be formed to such a depth, the selective epitaxial layers 7a and 7b may not be formed. In this case, the hard masks 4a and 4b may be removed after the sidewalls 6a and 6b are formed, and ion implantation and annealing may be performed to form the source / drain regions 8a and 8b.

次いで、図4に示すように、CVD法等を用いて全面に窒化シリコン膜を形成してマスク9を形成し、図5に示すように、nMOS形成領域をレジスト10で覆うパターニングを行う。   Next, as shown in FIG. 4, a silicon nitride film is formed on the entire surface by CVD or the like to form a mask 9, and patterning is performed to cover the nMOS formation region with a resist 10 as shown in FIG.

そして、リン酸等を用いてpMOS形成領域に露出する窒化シリコンのマスク9を除去した後、nMOS形成領域のレジスト10を除去し、図6に示すように、全面にシリサイド化用の金属11を堆積する。金属11には、例えばコバルト(Co)、ニッケル(Ni)、白金(Pt)、チタン(Ti)、タングステン、モリブデン(Mo)等を用いることができる。   Then, after removing the silicon nitride mask 9 exposed in the pMOS formation region using phosphoric acid or the like, the resist 10 in the nMOS formation region is removed, and as shown in FIG. 6, a metal 11 for silicidation is formed on the entire surface. accumulate. As the metal 11, for example, cobalt (Co), nickel (Ni), platinum (Pt), titanium (Ti), tungsten, molybdenum (Mo), or the like can be used.

このとき、nMOS形成領域では、金属11がマスク9上に堆積されるため、金属11はゲート電極3aおよび選択エピタキシャル層7aとは接触しない。これに対し、pMOS形成領域では、金属11の堆積前にマスク9が除去されているために、金属11がゲート電極3bおよび選択エピタキシャル層7bと接触する。したがって、このような状態においてpMOS形成領域のゲート電極3bをフルシリサイド化することのできる条件でアニールを行うと、図7に示すように、ゲート電極3bがフルシリサイド化されると共に、選択エピタキシャル層7bおよびその下層のソース・ドレイン領域8bがシリサイド化されてシリサイド層12bが形成されるようになる。そして、未反応の金属11は、硫酸等を用いて除去する。   At this time, since the metal 11 is deposited on the mask 9 in the nMOS formation region, the metal 11 does not contact the gate electrode 3a and the selective epitaxial layer 7a. On the other hand, in the pMOS formation region, since the mask 9 is removed before the metal 11 is deposited, the metal 11 contacts the gate electrode 3b and the selective epitaxial layer 7b. Therefore, when annealing is performed under such conditions that the gate electrode 3b in the pMOS formation region can be fully silicided, the gate electrode 3b is fully silicided as shown in FIG. 7b and the underlying source / drain region 8b are silicided to form a silicide layer 12b. Unreacted metal 11 is removed using sulfuric acid or the like.

pMOS形成領域のフルシリサイド化後は、図4〜図7に示した工程の処理と同様の処理をnMOS形成領域に対して行う。すなわち、まず、窒化シリコンを用いてマスクを全面に形成する。そして、レジストパターニングを行ってpMOS形成領域を覆うレジストを形成し、nMOS形成領域に露出するマスクを除去する。その後、レジストを剥離し、全面に所定の金属を堆積してnMOS形成領域のゲート電極3aのフルシリサイド化のためのアニールを行うことにより、図8に示すように、pMOS形成領域がマスク13で覆われた状態で、ゲート電極3aがフルシリサイド化されると共に、選択エピタキシャル層7aおよびソース・ドレイン領域8aがシリサイド化されてシリサイド層12aが形成される。未反応の金属は、硫酸等を用いて除去する。   After full silicidation of the pMOS formation region, processing similar to the processing shown in FIGS. 4 to 7 is performed on the nMOS formation region. That is, first, a mask is formed on the entire surface using silicon nitride. Then, resist patterning is performed to form a resist covering the pMOS formation region, and the mask exposed to the nMOS formation region is removed. Thereafter, the resist is peeled off, a predetermined metal is deposited on the entire surface, and annealing for full silicidation of the gate electrode 3a in the nMOS formation region is performed, so that the pMOS formation region is formed with a mask 13 as shown in FIG. In the covered state, the gate electrode 3a is fully silicided, and the selective epitaxial layer 7a and the source / drain region 8a are silicided to form a silicide layer 12a. Unreacted metal is removed using sulfuric acid or the like.

以降は、従来公知の方法に従って層間絶縁膜、コンタクト、配線等を形成し、半導体装置を完成していけばよい。
このようにしてnMOS形成領域とpMOS形成領域のゲート電極3a,3bのフルシリサイド化を別々に行うようにすれば、各ゲート電極3a,3bのシリサイド組成をそれぞれ独立に制御することが可能になる。シリサイド組成を変化させるためには、図6に示したpMOS形成領域に堆積する金属11の膜厚とその後にnMOS形成領域に堆積する金属の膜厚とを異ならせる、pMOS形成領域とnMOS形成領域とで金属種を異ならせる、金属の膜厚と種類を共に異ならせる、アニール条件を異ならせる、等の方法を用いることができる。このようにゲート電極3a,3bをフルシリサイド化したときの各ゲート電極3a,3b内のシリコンと金属の組成比を制御することにより、フルシリサイド化によって発生するVthシフトをnMOSとpMOSのそれぞれについて制御することが可能になる。
Thereafter, the semiconductor device may be completed by forming interlayer insulating films, contacts, wirings and the like according to a conventionally known method.
In this way, if the silicidation of the gate electrodes 3a and 3b in the nMOS formation region and the pMOS formation region is performed separately, the silicide composition of the gate electrodes 3a and 3b can be controlled independently. . In order to change the silicide composition, the pMOS formation region and the nMOS formation region are made different from the film thickness of the metal 11 deposited in the pMOS formation region shown in FIG. And the like, different metal types, different metal film thicknesses and types, and different annealing conditions can be used. By controlling the composition ratio of silicon and metal in the gate electrodes 3a and 3b when the gate electrodes 3a and 3b are fully silicided in this way, the V th shift generated by the full silicidation is caused in each of the nMOS and the pMOS. It becomes possible to control about.

ここで、図9はゲート電極内の金属含有量とフラットバンド電圧との関係を示す図である。図9には、一例としてシリサイド金属にニッケルを用いた場合のニッケル含有量とフラットバンド電圧(VFB)との関係を示している。図9において、横軸はNi含有量(%)を表し、縦軸はVFB(V)を表している。 Here, FIG. 9 is a diagram showing the relationship between the metal content in the gate electrode and the flat band voltage. FIG. 9 shows the relationship between the nickel content and the flat band voltage (V FB ) when nickel is used as the silicide metal as an example. In FIG. 9, the horizontal axis represents Ni content (%), and the vertical axis represents V FB (V).

この図9に示すように、NiSiの場合すなわちNi:Siの比率が1:1である場合を基準にすると、Ni含有量を変化させることで、VFBを、p方向(価電子帯側)に0.41V程度まで、n方向(伝導帯側)に0.12V程度まで、それぞれシフトさせることが可能である。例えば、NiSi(Ni含有量50%)を基準に、p方向をプラス(+)、n方向をマイナス(−)とすると、Ni2Si(Ni含有量67%)では+0.1V程度、Ni3Si(Ni含有量75%)では+0.15V程度、NiSi2(Ni含有量33%)では−0.1V程度、VFBをシフトさせることができる。 As shown in FIG. 9, on the basis of the case of NiSi, that is, the case where the ratio of Ni: Si is 1: 1, V FB is changed in the p direction (valence band side) by changing the Ni content. Can be shifted up to about 0.41V and up to about 0.12V in the n direction (conduction band side). For example, with NiSi (Ni content 50%) as a reference, if the p direction is plus (+) and the n direction is minus (−), Ni 2 Si (Ni content 67%) is about +0.1 V, Ni 3 V FB can be shifted by about +0.15 V for Si (Ni content 75%) and by about −0.1 V for NiSi 2 (Ni content 33%).

このVFBとVthとは密接に関係しており、VFBの制御はVthの制御につながる。したがって、第1の実施の形態の半導体装置の形成方法によれば、nMOS形成領域とpMOS形成領域のフルシリサイド化を別々に行って各ゲート電極3a,3bのシリサイド組成を制御することができるので、nMOSとpMOSのVthをそれぞれ最適制御することができる。その結果、nMOS、pMOSの各トランジスタが最適なVthを有する高性能の半導体装置を実現することが可能になる。 V FB and V th are closely related, and the control of V FB leads to the control of V th . Therefore, according to the method for forming the semiconductor device of the first embodiment, the silicide composition of each of the gate electrodes 3a and 3b can be controlled by performing full silicidation of the nMOS formation region and the pMOS formation region separately. , Vth of nMOS and pMOS can be optimally controlled. As a result, it is possible to realize a high-performance semiconductor device in which each of the nMOS and pMOS transistors has an optimum Vth .

なお、この第1の実施の形態では、pMOS形成領域、nMOS形成領域の順にフルシリサイド化を行うようにしたが、逆にnMOS形成領域、pMOS形成領域の順にフルシリサイド化をおこなうようにしても構わない。   In the first embodiment, full silicidation is performed in the order of the pMOS formation region and the nMOS formation region, but conversely, full silicidation may be performed in the order of the nMOS formation region and the pMOS formation region. I do not care.

次に、第2の実施の形態について説明する。
図10〜図13は第2の実施の形態の半導体装置の形成方法の説明図であって、図10は第2の実施の形態の金属堆積工程の要部断面模式図、図11は第2の実施の形態のレジストパターニング工程の要部断面模式図、図12は第2の実施の形態のレジスト剥離工程の要部断面模式図、図13は第2の実施の形態のシリサイド化工程の要部断面模式図である。以下、第2の実施の形態の半導体装置の形成方法について、上記の図1〜図3、および図10〜図13を参照して順に説明する。なお、図10〜図13では、上記の図1〜図8に示した要素と同一の要素については同一の符号を付し、その説明の詳細は省略する。
Next, a second embodiment will be described.
10 to 13 are explanatory views of a method of forming a semiconductor device according to the second embodiment. FIG. 10 is a schematic cross-sectional view of a main part of a metal deposition process according to the second embodiment. FIG. FIG. 12 is a schematic cross-sectional view of the relevant part of the resist stripping process of the second embodiment, and FIG. 13 is a schematic view of the silicidation process of the second embodiment. FIG. Hereinafter, a method for forming a semiconductor device according to the second embodiment will be described in order with reference to FIGS. 1 to 3 and FIGS. 10 to 13, the same elements as those shown in FIGS. 1 to 8 are denoted by the same reference numerals, and detailed description thereof is omitted.

この第2の実施の形態の半導体装置の形成方法では、上記第1の実施の形態の図1〜図3に示した工程までは同じである。その後、この第2の実施の形態では、まず、ハードマスク4a,4bをリン酸等で除去した後、図10に示すように、全面にシリサイド化用の金属20を堆積する。ここで堆積する金属20は、後述のように、nMOS形成領域のゲート電極3aのシリサイド組成を考慮した量(膜厚)で堆積する。   In the method of forming the semiconductor device according to the second embodiment, the processes up to the steps shown in FIGS. 1 to 3 of the first embodiment are the same. Thereafter, in the second embodiment, first, the hard masks 4a and 4b are removed with phosphoric acid or the like, and then a metal 20 for silicidation is deposited on the entire surface as shown in FIG. As will be described later, the metal 20 deposited here is deposited in an amount (film thickness) in consideration of the silicide composition of the gate electrode 3a in the nMOS formation region.

次いで、図11に示すように、nMOS形成領域をレジスト21で覆うパターニングを行い、pMOS形成領域に露出している金属20をエッチングしてその膜厚を薄くする。金属20のエッチングは、ドライエッチングで行っても、ウェットエッチングで行っても構わない。このエッチングにより、nMOS形成領域では厚く、pMOS形成領域では薄く、金属20が残るようになる。pMOS形成領域の金属20のエッチング量は、形成する半導体装置、特にpMOSの要求特性、具体的にはpMOS形成領域のゲート電極3bのシリサイド組成を考慮して設定される。pMOS形成領域の金属20のエッチング後は、図12に示すように、レジスト21を剥離して除去する。   Next, as shown in FIG. 11, patterning is performed to cover the nMOS formation region with a resist 21, and the metal 20 exposed in the pMOS formation region is etched to reduce its thickness. Etching of the metal 20 may be performed by dry etching or wet etching. By this etching, the metal 20 is left thick in the nMOS formation region and thin in the pMOS formation region. The etching amount of the metal 20 in the pMOS formation region is set in consideration of the required characteristics of the semiconductor device to be formed, particularly the pMOS, specifically, the silicide composition of the gate electrode 3b in the pMOS formation region. After the etching of the metal 20 in the pMOS formation region, the resist 21 is removed by stripping as shown in FIG.

そして、このような状態でゲート電極3a,3bのフルシリサイド化のためのアニールを行うと、図13に示すように、ゲート電極3a,3bがフルシリサイド化されると共に、選択エピタキシャル層7a,7bおよびソース・ドレイン領域8a,8bがシリサイド化されてシリサイド層12a,12bが形成されるようになる。   Then, when annealing for full silicidation of the gate electrodes 3a and 3b is performed in such a state, as shown in FIG. 13, the gate electrodes 3a and 3b are full silicidized and the selective epitaxial layers 7a and 7b. The source / drain regions 8a and 8b are silicided to form silicide layers 12a and 12b.

図12に示したように、金属20の膜厚は、フルシリサイド化前、nMOS形成領域で厚く、pMOS形成領域で薄くなっているため、フルシリサイド化を行ったときには、ゲート電極3a,3bのシリサイド組成が異なってくる。すなわち、nMOS形成領域では最初に堆積した金属20の量に応じたシリサイド組成のゲート電極3aが得られるようになり、pMOS形成領域では最初に堆積した金属20のエッチング後に残る量に応じたシリサイド組成のゲート電極3bが得られるようになる。なお、その後、未反応の金属20は、硫酸等を用いて除去する。   As shown in FIG. 12, since the film thickness of the metal 20 is thick in the nMOS formation region and thin in the pMOS formation region before full silicidation, when the full silicidation is performed, the gate electrodes 3a and 3b are formed. The silicide composition is different. That is, the gate electrode 3a having a silicide composition corresponding to the amount of the first deposited metal 20 can be obtained in the nMOS formation region, and the silicide composition corresponding to the amount remaining after etching of the first deposited metal 20 in the pMOS formation region. The gate electrode 3b is obtained. Thereafter, unreacted metal 20 is removed using sulfuric acid or the like.

このように、nMOS形成領域とpMOS形成領域のフルシリサイド化を一括で行う場合でも、フルシリサイド化前におけるnMOS形成領域とpMOS形成領域の金属20の膜厚をそれぞれ制御することにより、各ゲート電極3a,3bのシリサイド組成をそれぞれ独立に制御することが可能になる。それにより、nMOS、pMOSの各トランジスタが最適なVthを有する高性能の半導体装置を実現することが可能になる。 As described above, even when full silicidation of the nMOS formation region and the pMOS formation region is performed at once, by controlling the film thickness of the metal 20 in the nMOS formation region and the pMOS formation region before full silicidation, It becomes possible to independently control the silicide compositions of 3a and 3b. This makes it possible to realize a high-performance semiconductor device in which each of the nMOS and pMOS transistors has an optimum Vth .

なお、この第2の実施の形態では、pMOS形成領域の金属20の膜厚を薄くするようにしたが、逆に、nMOS形成領域の金属20の膜厚を薄くしてシリサイド化を行うようにしても構わない。   In the second embodiment, the thickness of the metal 20 in the pMOS formation region is reduced. On the contrary, the thickness of the metal 20 in the nMOS formation region is reduced to perform silicidation. It doesn't matter.

次に、第3の実施の形態について説明する。
図14〜図16は第3の実施の形態の半導体装置の形成方法の説明図であって、図14は第3の実施の形態の第1の金属堆積工程の要部断面模式図、図15は第3の実施の形態の反応抑制層形成工程の要部断面模式図、図16は第3の実施の形態の第2の金属堆積工程の要部断面模式図である。以下、第3の実施の形態の半導体装置の形成方法について、上記の図1〜図3、および図14〜図16を参照して順に説明する。なお、図14〜図16では、上記の図1〜図8に示した要素と同一の要素については同一の符号を付し、その説明の詳細は省略する。
Next, a third embodiment will be described.
14 to 16 are explanatory views of the method of forming the semiconductor device according to the third embodiment. FIG. 14 is a schematic cross-sectional view of the main part of the first metal deposition step according to the third embodiment. FIG. 16 is a schematic cross-sectional view of an essential part of a reaction suppression layer forming step of the third embodiment, and FIG. 16 is a schematic cross-sectional view of an essential part of a second metal deposition step of the third embodiment. Hereinafter, a method for forming a semiconductor device according to the third embodiment will be described in order with reference to FIGS. 1 to 3 and FIGS. 14 to 16. 14 to 16, the same elements as those shown in FIGS. 1 to 8 are given the same reference numerals, and detailed description thereof is omitted.

この第3の実施の形態の半導体装置の形成方法では、上記第1の実施の形態の図1〜図3に示した工程までは同じである。その後、この第3の実施の形態では、まず、ハードマスク4a,4bをリン酸等で除去した後、図14に示すように、全面にシリサイド化用の金属30を堆積する。ここで堆積する金属30は、後述のように、nMOS形成領域のゲート電極3aのシリサイド組成を考慮した膜厚で堆積する。   In the method of forming the semiconductor device according to the third embodiment, the processes up to the steps shown in FIGS. 1 to 3 of the first embodiment are the same. Thereafter, in the third embodiment, first, the hard masks 4a and 4b are removed with phosphoric acid or the like, and then a metal 30 for silicidation is deposited on the entire surface as shown in FIG. The metal 30 deposited here is deposited with a film thickness in consideration of the silicide composition of the gate electrode 3a in the nMOS formation region, as will be described later.

次いで、図15に示すように、一旦全面に酸化シリコンや窒化シリコン等の金属30と反応しないか反応があまり起こらないような材質の膜を形成し、それを例えばnMOS形成領域にのみ残してpMOS形成領域から除去することにより、nMOS形成領域にマスクとして反応抑制層31を形成する。   Next, as shown in FIG. 15, a film made of a material which does not react with the metal 30 such as silicon oxide or silicon nitride or does not react so much is formed on the entire surface, and is left only in the nMOS formation region, for example, to form the pMOS. By removing from the formation region, the reaction suppression layer 31 is formed as a mask in the nMOS formation region.

次いで、図16に示すように、再度全面にシリサイド化用の金属32を堆積する。その際、この金属32は、nMOS形成領域では反応抑制層31の上に堆積され、pMOS形成領域では先に形成した金属30の上に堆積される。ここで堆積する金属32は、先に形成した金属30と合計したときのpMOS形成領域のゲート電極3bのシリサイド組成を考慮した膜厚で堆積する。   Next, as shown in FIG. 16, a metal 32 for silicidation is deposited again on the entire surface. At this time, the metal 32 is deposited on the reaction suppression layer 31 in the nMOS formation region, and is deposited on the metal 30 previously formed in the pMOS formation region. The metal 32 deposited here is deposited with a film thickness that takes into account the silicide composition of the gate electrode 3b in the pMOS formation region when added to the previously formed metal 30.

そして、このような状態でゲート電極3a,3bのフルシリサイド化のためのアニールを行うと、nMOS形成領域およびpMOS形成領域のゲート電極3a,3bがフルシリサイド化されると共に、選択エピタキシャル層7a,7bおよびソース・ドレイン領域8a,8bがシリサイド化されるようになる。   Then, if annealing for full silicidation of the gate electrodes 3a and 3b is performed in such a state, the gate electrodes 3a and 3b in the nMOS formation region and the pMOS formation region are fully silicided and the selective epitaxial layer 7a, 7b and source / drain regions 8a and 8b are silicided.

図16に示したように、フルシリサイド化前、nMOS形成領域のゲート電極3a上には金属30、反応抑制層31、金属32が順に堆積され、pMOS形成領域のゲート電極3b上には2層の金属30,32が順に堆積されている。そして、nMOS形成領域では反応抑制層31上に堆積された金属32がシリサイド化に寄与せずに金属30のみがシリサイド化に寄与し、pMOS形成領域では2層の金属30,32が共にシリサイド化に寄与する。その結果、ゲート電極3a,3bで異なるシリサイド組成が得られるようになる。すなわち、フルシリサイド化を行ったときには、nMOS形成領域では1層分の金属30の量(膜厚)に応じたシリサイド組成のゲート電極3aが得られ、pMOS形成領域では2層分の金属30,32の量(膜厚)に応じたシリサイド組成のゲート電極3bが得られるようになる。   As shown in FIG. 16, before full silicidation, a metal 30, a reaction suppression layer 31, and a metal 32 are sequentially deposited on the gate electrode 3a in the nMOS formation region, and two layers are deposited on the gate electrode 3b in the pMOS formation region. Metals 30 and 32 are sequentially deposited. In the nMOS formation region, the metal 32 deposited on the reaction suppression layer 31 does not contribute to silicidation, but only the metal 30 contributes to silicidation. In the pMOS formation region, both the two layers of metals 30 and 32 are silicidated. Contribute to. As a result, different silicide compositions can be obtained for the gate electrodes 3a and 3b. That is, when full silicidation is performed, a gate electrode 3a having a silicide composition corresponding to the amount (film thickness) of one layer of metal 30 is obtained in the nMOS formation region, and two layers of metal 30, A gate electrode 3b having a silicide composition corresponding to the amount (film thickness) of 32 can be obtained.

フルシリサイド化後は、まず、未反応の金属32を硫酸等で除去し、次いでリン酸等で反応抑制層31を除去し、最後に再び硫酸等で未反応の金属30を除去することで、上記図13に示したのと同様の構造が得られる。   After full silicidation, first, the unreacted metal 32 is removed with sulfuric acid or the like, then the reaction suppression layer 31 is removed with phosphoric acid or the like, and finally the unreacted metal 30 is removed again with sulfuric acid or the like. A structure similar to that shown in FIG. 13 is obtained.

このように反応抑制層31を用いることによってnMOS形成領域とpMOS形成領域の各ゲート電極3a,3bのシリサイド組成をそれぞれ独立に制御することが可能になる。それにより、nMOS、pMOSの各トランジスタが最適なVthを有する高性能の半導体装置を実現することが可能になる。 By using the reaction suppression layer 31 in this way, it becomes possible to independently control the silicide compositions of the gate electrodes 3a and 3b in the nMOS formation region and the pMOS formation region. This makes it possible to realize a high-performance semiconductor device in which each of the nMOS and pMOS transistors has an optimum Vth .

なお、この第3の実施の形態では、nMOS形成領域に反応抑制層31を残すようにしたが、逆に、pMOS形成領域に反応抑制層31を残してシリサイド化を行うようにしても構わない。   In the third embodiment, the reaction suppression layer 31 is left in the nMOS formation region. Conversely, the reaction suppression layer 31 may be left in the pMOS formation region to perform silicidation. .

次に、第4の実施の形態について説明する。
図17〜図20は第4の実施の形態の半導体装置の形成方法の説明図であって、図17は第4の実施の形態のレジストパターニング工程の要部断面模式図、図18は第4の実施の形態のポリシリコンエッチング工程の要部断面模式図、図19は第4の実施の形態の金属堆積工程の要部断面模式図、図20は第4の実施の形態のシリサイド化工程の要部断面模式図である。以下、第4の実施の形態の半導体装置の形成方法について、上記の図1〜図3、および図17〜図20を参照して順に説明する。なお、図17〜図20では、上記の図1〜図8に示した要素と同一の要素については同一の符号を付し、その説明の詳細は省略する。
Next, a fourth embodiment will be described.
17 to 20 are explanatory views of a method of forming a semiconductor device according to the fourth embodiment. FIG. 17 is a schematic cross-sectional view of a main part of a resist patterning process according to the fourth embodiment. FIG. FIG. 19 is a schematic cross-sectional view of an essential part of a metal deposition process according to the fourth embodiment, and FIG. 20 is an illustration of a silicidation process according to the fourth embodiment. It is a principal part cross-sectional schematic diagram. Hereinafter, a method for forming a semiconductor device according to the fourth embodiment will be described in order with reference to FIGS. 1 to 3 and FIGS. 17 to 20. In FIGS. 17 to 20, the same elements as those shown in FIGS. 1 to 8 are given the same reference numerals, and detailed descriptions thereof are omitted.

この第4の実施の形態の半導体装置の形成方法では、上記第1の実施の形態の図1〜図3に示した工程までは同じである。その後、この第4の実施の形態では、まず、ハードマスク4a,4bをリン酸等で除去した後、図17に示すように、例えばnMOS形成領域のゲート電極3aが露出する開口部40aを有するレジスト40をパターニングする。   In the method of forming the semiconductor device according to the fourth embodiment, the processes up to the steps shown in FIGS. 1 to 3 of the first embodiment are the same. Thereafter, in the fourth embodiment, first, after removing the hard masks 4a and 4b with phosphoric acid or the like, as shown in FIG. 17, for example, there is an opening 40a through which the gate electrode 3a in the nMOS formation region is exposed. The resist 40 is patterned.

そして、レジスト40をマスクにして、図18に示すように、ゲート電極3aのポリシリコンをエッチングしてその膜厚を薄くする。その際、ゲート電極3aのエッチング量は、後述の金属41を堆積してフルシリサイド化を行ったときのゲート電極3aのシリサイド組成を考慮した量とする。エッチング後、レジスト40は剥離して除去する。   Then, using the resist 40 as a mask, the polysilicon of the gate electrode 3a is etched to reduce its thickness, as shown in FIG. At this time, the etching amount of the gate electrode 3a is set in consideration of the silicide composition of the gate electrode 3a when a metal 41 described later is deposited to be fully silicided. After the etching, the resist 40 is peeled off and removed.

その後は、図19に示すように、全面にシリサイド化用の金属41を堆積する。ここで堆積する金属41は、nMOS形成領域、pMOS形成領域のゲート電極3a,3bのシリサイド組成を考慮した量(膜厚)で堆積する。   Thereafter, as shown in FIG. 19, a metal 41 for silicidation is deposited on the entire surface. The metal 41 deposited here is deposited in an amount (film thickness) in consideration of the silicide composition of the gate electrodes 3a and 3b in the nMOS formation region and the pMOS formation region.

そして、このような状態でゲート電極3a,3bのフルシリサイド化のためのアニールを行うと、図20に示すように、nMOS形成領域およびpMOS形成領域のゲート電極3a,3bがフルシリサイド化されると共に、選択エピタキシャル層7a,7bおよびソース・ドレイン領域8a,8bがシリサイド化されてシリサイド層12a,12bが形成されるようになる。   When annealing for full silicidation of the gate electrodes 3a and 3b is performed in such a state, the gate electrodes 3a and 3b in the nMOS formation region and the pMOS formation region are fully silicided as shown in FIG. At the same time, the selective epitaxial layers 7a and 7b and the source / drain regions 8a and 8b are silicided to form silicide layers 12a and 12b.

図19に示したように、フルシリサイド化前、nMOS形成領域、pMOS形成領域のゲート電極3a,3b上には同等の膜厚で金属41が堆積されているが、ゲート電極3a,3b自体の膜厚が異なっている。換言すれば、各ゲート電極3a,3b上には、それらの膜厚に応じて、それぞれ所定量の金属41が堆積されていることになる。すなわち、フルシリサイド化を行ったときには、nMOS形成領域ではpMOS形成領域のゲート電極3bよりも金属41の組成比が大きいゲート電極3aが得られ、pMOS形成領域ではnMOS形成領域のゲート電極3aよりも金属41の組成比が小さいゲート電極3bが得られるようになる。なお、フルシリサイド化後は、硫酸等で未反応の金属41を除去する。   As shown in FIG. 19, before full silicidation, a metal 41 is deposited with an equivalent film thickness on the gate electrodes 3a and 3b in the nMOS formation region and the pMOS formation region, but the gate electrodes 3a and 3b themselves The film thickness is different. In other words, a predetermined amount of metal 41 is deposited on each of the gate electrodes 3a and 3b in accordance with their film thickness. That is, when full silicidation is performed, the gate electrode 3a having a higher composition ratio of the metal 41 than the gate electrode 3b in the pMOS formation region is obtained in the nMOS formation region, and the gate electrode 3a in the nMOS formation region in the pMOS formation region. A gate electrode 3b having a small composition ratio of the metal 41 can be obtained. After full silicidation, unreacted metal 41 is removed with sulfuric acid or the like.

このように全面に金属41を堆積する前にnMOS形成領域とpMOS形成領域の各ゲート電極3a,3bの膜厚をそれぞれ制御することにより、各ゲート電極3a,3bのシリサイド組成をそれぞれ独立に制御することが可能になる。それにより、nMOS、pMOSの各トランジスタが最適なVthを有する高性能の半導体装置を実現することが可能になる。 Thus, by controlling the film thickness of each gate electrode 3a, 3b in the nMOS formation region and the pMOS formation region before depositing the metal 41 on the entire surface, the silicide composition of each gate electrode 3a, 3b is independently controlled. It becomes possible to do. This makes it possible to realize a high-performance semiconductor device in which each of the nMOS and pMOS transistors has an optimum Vth .

なお、この第4の実施の形態において、シリサイド化用の金属41の堆積前にnMOS形成領域、pMOS形成領域の各ゲート電極3a,3bの膜厚を制御するためには、上記の方法のほか、次の図21〜図26に示すような方法を用いることもできる。   In the fourth embodiment, in order to control the film thicknesses of the gate electrodes 3a and 3b in the nMOS formation region and the pMOS formation region before the deposition of the metal 41 for silicidation, in addition to the above method, The following method shown in FIGS. 21 to 26 can also be used.

ここで、図21はポリシリコン形成工程の要部断面模式図、図22はレジストパターニング工程の要部断面模式図、図23はポリシリコンエッチング工程の要部断面模式図、図24はハードマスク形成工程の要部断面模式図、図25は平坦化工程の要部断面模式図、図26はゲート電極加工工程の要部断面模式図である。なお、図21〜図26では、上記の図1に示した要素と同一の要素については同一の符号を付し、その説明の詳細は省略する。   Here, FIG. 21 is a schematic cross-sectional view of the main part of the polysilicon forming process, FIG. 22 is a schematic cross-sectional view of the main part of the resist patterning process, FIG. 23 is a schematic cross-sectional view of the main part of the polysilicon etching process, and FIG. FIG. 25 is a schematic cross-sectional view of the relevant part of the step, FIG. 25 is a schematic cross-sectional view of the relevant part of the planarization process, and FIG. 26 is a schematic cross-sectional view of the relevant part of the gate electrode processing step. In FIG. 21 to FIG. 26, the same reference numerals are given to the same elements as those shown in FIG. 1, and the detailed description thereof is omitted.

まず、適所に素子分離領域(図示せず。)を形成した後、図21に示すように、シリコン基板1の表面に熱酸化膜50を形成し、その上にCVD法等を用いてポリシリコン51を形成する。次いで、図22に示すように、例えばpMOS形成領域をレジスト52で覆い、さらに、図23に示すように、そのレジスト52をマスクにしてnMOS形成領域に露出するポリシリコン51をエッチングし、その後、レジスト52を剥離して除去する。次いで、図24に示すように、全面にCVD法等によって窒化シリコン膜53を形成し、さらに、図25に示すように、CMP(Chemical Mechanical Polishing)によってnMOS形成領域とpMOS形成領域の窒化シリコン膜53を平坦化する。なお、このような平坦化工程は、場合により省略することも可能である。   First, after element isolation regions (not shown) are formed at appropriate positions, as shown in FIG. 21, a thermal oxide film 50 is formed on the surface of the silicon substrate 1, and polysilicon is formed thereon using a CVD method or the like. 51 is formed. Next, as shown in FIG. 22, for example, the pMOS formation region is covered with a resist 52, and further, as shown in FIG. 23, the polysilicon 51 exposed in the nMOS formation region is etched using the resist 52 as a mask. The resist 52 is removed and removed. Next, as shown in FIG. 24, a silicon nitride film 53 is formed on the entire surface by CVD or the like, and further, as shown in FIG. 25, silicon nitride films in the nMOS formation region and the pMOS formation region by CMP (Chemical Mechanical Polishing). 53 is flattened. Such a planarization step may be omitted depending on circumstances.

最後に、図26に示すように、nMOS、pMOSのゲート電極部分を残して窒化シリコン膜53、ポリシリコン51および熱酸化膜50の3層をフォトリソグラフィ技術を用いてエッチングする。これにより、nMOS形成領域、pMOS形成領域のシリコン基板1上にゲート絶縁膜2a,2bを介してゲート電極3a,3b、ハードマスク4a,4bが形成される。これにより、nMOS形成領域ではゲート電極3aが相対的に薄く形成され、pMOS形成領域ではゲート電極3bが相対的に厚く形成されるようになる。   Finally, as shown in FIG. 26, the three layers of the silicon nitride film 53, the polysilicon 51, and the thermal oxide film 50 are etched using the photolithography technique while leaving the gate electrodes of the nMOS and pMOS. As a result, the gate electrodes 3a and 3b and the hard masks 4a and 4b are formed on the silicon substrate 1 in the nMOS formation region and the pMOS formation region via the gate insulating films 2a and 2b. Thereby, the gate electrode 3a is formed relatively thin in the nMOS formation region, and the gate electrode 3b is formed relatively thick in the pMOS formation region.

このようなゲート電極3a,3bの形成後は、上記の図2および図3に示した工程を経て、図17以降の処理を行うようにすればよい。このような方法によっても、各ゲート電極3a,3bの膜厚をそれぞれ制御してシリサイド組成を独立に制御することが可能になる。   After the formation of the gate electrodes 3a and 3b, the processes shown in FIG. 17 and subsequent steps may be performed through the steps shown in FIGS. Also by such a method, it becomes possible to control the silicide composition independently by controlling the film thickness of each gate electrode 3a, 3b.

なお、この第4の実施の形態では、nMOS形成領域のゲート電極3aの膜厚を薄くするようにしたが、逆に、pMOS形成領域のゲート電極3bの膜厚を薄くしてシリサイド化を行うようにしても構わない。   In the fourth embodiment, the thickness of the gate electrode 3a in the nMOS formation region is reduced. Conversely, the thickness of the gate electrode 3b in the pMOS formation region is reduced to perform silicidation. It doesn't matter if you do.

また、上記第1〜第3の実施の形態において、この第4の実施の形態の図17に示した方法や図21〜図26に示した方法を用いて、nMOS形成領域、pMOS形成領域の各ゲート電極3a,3bをそれらの膜厚が異なるように形成するようにしてもよい。   In the first to third embodiments, the nMOS formation region and the pMOS formation region are formed using the method shown in FIG. 17 of the fourth embodiment and the method shown in FIGS. The gate electrodes 3a and 3b may be formed so as to have different film thicknesses.

以上説明したように、上記第1〜第4の実施の形態の半導体装置の形成方法によれば、nMOS形成領域とpMOS形成領域の各ゲート電極3a,3bのシリサイド組成をそれぞれ独立に制御することができ、pMOSの各トランジスタが最適なVthを有する高性能の半導体装置を実現することが可能になる。 As described above, according to the method for forming the semiconductor device of the first to fourth embodiments, the silicide compositions of the gate electrodes 3a and 3b in the nMOS formation region and the pMOS formation region are controlled independently. Therefore, it is possible to realize a high-performance semiconductor device in which each transistor of the pMOS has an optimum Vth .

なお、上記第1〜第4の実施の形態における半導体装置の各部(形成過程にあるものを含む。)のサイズ(膜厚や長さ等)やイオン注入条件等の装置形成条件は、形成するnMOS、pMOSの要求特性等に応じて設定可能である。   The device formation conditions such as the size (film thickness, length, etc.) of each part (including those in the formation process) and ion implantation conditions of the semiconductor device in the first to fourth embodiments are formed. It can be set according to the required characteristics of nMOS and pMOS.

また、上記第1〜第4の実施の形態では、各ゲート電極をフルシリサイド化するようにしたが、必ずしもフルシリサイド化することを要しない。例えば、フルシリサイド化が不要な素子領域にはゲート電極上にシリサイド化用の金属をより薄く形成する、あるいはシリサイド化前のゲート電極の膜厚(ポリシリコンの膜厚)を厚くするようにすればよい。また、シリサイド化は、すべてのゲート電極に対して行うことができるほか、一部のゲート電極に対してのみ行うことも可能である。   In the first to fourth embodiments, each gate electrode is fully silicided. However, it is not always necessary to fully silicide. For example, in an element region that does not require full silicidation, the metal for silicidation is formed thinner on the gate electrode, or the gate electrode thickness (polysilicon film thickness) before silicidation is increased. That's fine. In addition, silicidation can be performed on all the gate electrodes or only on a part of the gate electrodes.

また、上記第1〜第4の実施の形態においては、シリコン基板1に代えてSOI(Silicon On Insulator)基板を用いることも可能であり、その場合も上記第1〜第4の実施の形態で述べたのと同様の方法で半導体装置を形成することが可能である。   In the first to fourth embodiments, an SOI (Silicon On Insulator) substrate can be used instead of the silicon substrate 1, and in that case, the first to fourth embodiments are also used. A semiconductor device can be formed by a method similar to that described above.

また、ここでは、nMOSとpMOSの2種類のトランジスタを備える半導体装置の形成方法を例にして述べたが、上記の形成方法は、その他の形態の半導体装置を形成する場合にも適用可能である。例えば、低Vthのトランジスタと高Vthのトランジスタが混載される半導体装置等である。 In addition, here, a method for forming a semiconductor device including two types of transistors, nMOS and pMOS, has been described as an example. However, the above-described formation method can also be applied to the case of forming other types of semiconductor devices. . For example, a semiconductor device in which a low V th transistor and a high V th transistor are mixedly mounted.

通常、高Vthトランジスタを形成する場合には、Vthを高めるためにシリコン基板へのイオン注入量を多くしてチャネル濃度を高くする方法が採られる。しかし、この場合、キャリア移動度が低下し、所望のオン電流が得られないといった問題が生じる可能性がある。そこで、フルシリサイド化によってVthがシフトする現象を利用し、高Vthトランジスタのゲート電極のシリサイド組成を適当に設定すれば、チャネル濃度を増加させることなくVthを高くすることが可能になる。 Usually, when a high V th transistor is formed, a method of increasing the channel concentration by increasing the amount of ion implantation into the silicon substrate is employed in order to increase V th . However, in this case, there is a possibility that the carrier mobility is lowered and a desired on-current cannot be obtained. Therefore, by utilizing the phenomenon that V th is shifted by a full silicidation, when appropriately setting the silicide composition of the gate electrode of the high V th transistor, it is possible to increase the V th without increasing the channel concentration .

したがって、低Vthトランジスタと高Vthトランジスタが混載される半導体装置を形成する場合においても、上記の形成方法を用いることにより、各トランジスタのシリサイド組成、換言すれば各トランジスタのVthを制御することが可能になるので、より高性能の半導体装置が実現可能になる。 Therefore, even when a semiconductor device in which a low V th transistor and a high V th transistor are mixedly formed is used, the silicide composition of each transistor, in other words, the V th of each transistor is controlled by using the above formation method. Therefore, a higher-performance semiconductor device can be realized.

(付記1) 複数のトランジスタを備える半導体装置の製造方法において、
半導体基板に複数のゲート電極を形成する工程と、
前記複数のゲート電極のうちの一のゲート電極上と他のゲート電極上のそれぞれに所定量の金属を堆積してシリサイド化する工程と、
を有することを特徴とする半導体装置の製造方法。
(Supplementary Note 1) In a method for manufacturing a semiconductor device including a plurality of transistors,
Forming a plurality of gate electrodes on a semiconductor substrate;
Depositing a predetermined amount of metal on each of the gate electrode and the other gate electrode of the plurality of gate electrodes to form a silicide;
A method for manufacturing a semiconductor device, comprising:

(付記2) 前記複数のゲート電極のうちの前記一のゲート電極上と前記他のゲート電極上のそれぞれに所定量の金属を堆積してシリサイド化する工程においては、
前記一のゲート電極および前記他のゲート電極のそれぞれのシリサイド組成に応じた量の金属を堆積してシリサイド化することを特徴とする付記1記載の半導体装置の製造方法。
(Supplementary Note 2) In the step of silicidizing by depositing a predetermined amount of metal on each of the one gate electrode and the other gate electrode of the plurality of gate electrodes,
2. The method of manufacturing a semiconductor device according to claim 1, wherein an amount of metal corresponding to the silicide composition of each of the one gate electrode and the other gate electrode is deposited and silicided.

(付記3) 前記複数のゲート電極のうちの前記一のゲート電極上と前記他のゲート電極上のそれぞれに所定量の金属を堆積してシリサイド化する工程においては、
前記他のゲート電極上に第1のマスクを形成し、前記第1のマスク上と前記一のゲート電極上とを含む領域に金属を堆積して前記一のゲート電極をシリサイド化した後、
シリサイド化された前記一のゲート電極上に第2のマスクを形成し、前記第2のマスク上と前記他のゲート電極上とを含む領域に金属を堆積して前記他のゲート電極をシリサイド化する、
ことを特徴とする付記1記載の半導体装置の製造方法。
(Supplementary Note 3) In the step of silicidizing by depositing a predetermined amount of metal on each of the one gate electrode and the other gate electrode of the plurality of gate electrodes,
Forming a first mask on the other gate electrode, depositing metal in a region including the first mask and the one gate electrode, and silicidating the one gate electrode;
A second mask is formed on the silicided one gate electrode, and a metal is deposited in a region including the second mask and the other gate electrode to silicide the other gate electrode. To
The method of manufacturing a semiconductor device according to appendix 1, wherein:

(付記4) 前記複数のゲート電極のうちの前記一のゲート電極上と前記他のゲート電極上のそれぞれに所定量の金属を堆積してシリサイド化する工程においては、
前記一のゲート電極上と前記他のゲート電極上とを含む領域に金属を堆積し、
前記一のゲート電極上に堆積された金属を一部除去して前記一のゲート電極上に堆積されている金属の量を減少させ、
前記一のゲート電極および前記他のゲート電極をシリサイド化する、
ことを特徴とする付記1記載の半導体装置の製造方法。
(Supplementary Note 4) In the step of depositing a predetermined amount of metal on each of the one gate electrode and the other gate electrode among the plurality of gate electrodes to form a silicide,
Depositing a metal in a region including the one gate electrode and the other gate electrode;
Removing a portion of the metal deposited on the one gate electrode to reduce the amount of metal deposited on the one gate electrode;
Siliciding the one gate electrode and the other gate electrode;
The method of manufacturing a semiconductor device according to appendix 1, wherein:

(付記5) 前記複数のゲート電極のうちの前記一のゲート電極上と前記他のゲート電極上のそれぞれに所定量の金属を堆積してシリサイド化する工程においては、
前記一のゲート電極上と前記他のゲート電極上とを含む領域に金属を堆積し、
前記一のゲート電極上に堆積された金属上にマスクを形成し、
前記マスク上と前記他のゲート電極上に堆積された金属上とを含む領域にさらに金属を堆積し、
前記一のゲート電極および前記他のゲート電極をシリサイド化する、
ことを特徴とする付記1記載の半導体装置の製造方法。
(Supplementary Note 5) In the step of silicidizing by depositing a predetermined amount of metal on each of the one gate electrode and the other gate electrode of the plurality of gate electrodes,
Depositing a metal in a region including the one gate electrode and the other gate electrode;
Forming a mask on the metal deposited on the one gate electrode;
Further depositing metal in a region including on the mask and on the metal deposited on the other gate electrode;
Siliciding the one gate electrode and the other gate electrode;
The method of manufacturing a semiconductor device according to appendix 1, wherein:

(付記6) 前記半導体基板に前記複数のゲート電極を形成する工程においては、
前記複数のゲート電極のうちの前記一のゲート電極と前記他のゲート電極の膜厚が異なるように形成することを特徴とする付記1記載の半導体装置の製造方法。
(Supplementary Note 6) In the step of forming the plurality of gate electrodes on the semiconductor substrate,
2. The method of manufacturing a semiconductor device according to claim 1, wherein the one gate electrode and the other gate electrode of the plurality of gate electrodes are formed to have different thicknesses.

(付記7) 前記複数のゲート電極のうちの前記一のゲート電極上と前記他のゲート電極上のそれぞれに所定量の金属を堆積してシリサイド化する工程においては、
前記一のゲート電極または前記他のゲート電極をフルシリサイド化することを特徴とする付記1記載の半導体装置の製造方法。
(Supplementary Note 7) In the step of silicidizing by depositing a predetermined amount of metal on each of the one gate electrode and the other gate electrode of the plurality of gate electrodes,
2. The method of manufacturing a semiconductor device according to claim 1, wherein the one gate electrode or the other gate electrode is fully silicided.

(付記8) 前記複数のゲート電極のうちの前記一のゲート電極上と前記他のゲート電極上のそれぞれに所定量の金属を堆積してシリサイド化する工程においては、
前記一のゲート電極上に堆積する金属の種類と前記他のゲート電極上に堆積する金属の種類とを異ならせることを特徴とする付記1記載の半導体装置の製造方法。
(Appendix 8) In the step of depositing a predetermined amount of metal on each of the one gate electrode and the other gate electrode among the plurality of gate electrodes to form a silicide,
2. The method of manufacturing a semiconductor device according to claim 1, wherein the type of metal deposited on the one gate electrode is different from the type of metal deposited on the other gate electrode.

(付記9) 前記一のゲート電極と前記他のゲート電極のいずれか一方はn型MOSトランジスタのゲート電極であり、他方はp型MOSトランジスタのゲート電極であることを特徴とする付記1記載の半導体装置の製造方法。   (Appendix 9) One of the one gate electrode and the other gate electrode is a gate electrode of an n-type MOS transistor, and the other is a gate electrode of a p-type MOS transistor. A method for manufacturing a semiconductor device.

(付記10) 前記一のゲート電極と前記他のゲート電極のいずれか一方は高閾値電圧トランジスタのゲート電極であり、他方は低閾値電圧トランジスタのゲート電極であることを特徴とする付記1記載の半導体装置の製造方法。   (Supplementary note 10) The supplementary note 1, wherein one of the one gate electrode and the other gate electrode is a gate electrode of a high threshold voltage transistor, and the other is a gate electrode of a low threshold voltage transistor. A method for manufacturing a semiconductor device.

第1の実施の形態のゲート電極加工工程の要部断面模式図である。It is a principal part cross-sectional schematic diagram of the gate electrode processing process of 1st Embodiment. 第1の実施の形態のサイドウォール形成工程の要部断面模式図である。It is a principal part cross-sectional schematic diagram of the side wall formation process of 1st Embodiment. 第1の実施の形態の選択エピタキシャル成長工程の要部断面模式図である。It is a principal part cross-sectional schematic diagram of the selective epitaxial growth process of 1st Embodiment. 第1の実施の形態のマスク形成工程の要部断面模式図である。It is a principal part cross-sectional schematic diagram of the mask formation process of 1st Embodiment. 第1の実施の形態のレジストパターニング工程の要部断面模式図である。It is a principal part cross-sectional schematic diagram of the resist patterning process of 1st Embodiment. 第1の実施の形態の金属堆積工程の要部断面模式図である。It is a principal part cross-sectional schematic diagram of the metal deposition process of 1st Embodiment. 第1の実施の形態のシリサイド化工程の要部断面模式図(その1)である。It is a principal part cross-sectional schematic diagram (the 1) of the silicidation process of 1st Embodiment. 第1の実施の形態のシリサイド化工程の要部断面模式図(その2)である。FIG. 6 is a schematic cross-sectional view (No. 2) of relevant parts of the silicidation process of the first embodiment. ゲート電極内の金属含有量とフラットバンド電圧との関係を示す図である。It is a figure which shows the relationship between the metal content in a gate electrode, and a flat band voltage. 第2の実施の形態の金属堆積工程の要部断面模式図である。It is a principal part cross-sectional schematic diagram of the metal deposition process of 2nd Embodiment. 第2の実施の形態のレジストパターニング工程の要部断面模式図である。It is a principal part cross-sectional schematic diagram of the resist patterning process of 2nd Embodiment. 第2の実施の形態のレジスト剥離工程の要部断面模式図である。It is a principal part cross-sectional schematic diagram of the resist peeling process of 2nd Embodiment. 第2の実施の形態のシリサイド化工程の要部断面模式図である。It is a principal part cross-sectional schematic diagram of the silicidation process of 2nd Embodiment. 第3の実施の形態の第1の金属堆積工程の要部断面模式図である。It is a principal part cross-sectional schematic diagram of the 1st metal deposition process of 3rd Embodiment. 第3の実施の形態の反応抑制層形成工程の要部断面模式図である。It is a principal part cross-sectional schematic diagram of the reaction suppression layer formation process of 3rd Embodiment. 第3の実施の形態の第2の金属堆積工程の要部断面模式図である。It is a principal part cross-sectional schematic diagram of the 2nd metal deposition process of 3rd Embodiment. 第4の実施の形態のレジストパターニング工程の要部断面模式図である。It is a principal part cross-sectional schematic diagram of the resist patterning process of 4th Embodiment. 第4の実施の形態のポリシリコンエッチング工程の要部断面模式図である。It is a principal part cross-sectional view of the polysilicon etching process of 4th Embodiment. 第4の実施の形態の金属堆積工程の要部断面模式図である。It is a principal part cross-sectional schematic diagram of the metal deposition process of 4th Embodiment. 第4の実施の形態のシリサイド化工程の要部断面模式図である。It is a principal part cross-sectional schematic diagram of the silicidation process of 4th Embodiment. ポリシリコン形成工程の要部断面模式図である。It is a principal part cross-sectional schematic diagram of a polysilicon formation process. レジストパターニング工程の要部断面模式図である。It is a principal part cross-sectional schematic diagram of a resist patterning process. ポリシリコンエッチング工程の要部断面模式図である。It is a principal part cross-sectional schematic diagram of a polysilicon etching process. ハードマスク形成工程の要部断面模式図である。It is a principal part cross-sectional schematic diagram of a hard mask formation process. 平坦化工程の要部断面模式図である。It is a principal part cross-sectional schematic diagram of a planarization process. ゲート電極加工工程の要部断面模式図である。It is a principal part cross-sectional schematic diagram of a gate electrode processing process.

符号の説明Explanation of symbols

1 シリコン基板
2a,2b ゲート絶縁膜
3a,3b ゲート電極
4a,4b ハードマスク
5a,5b エクステンション領域
6a,6b サイドウォール
7a,7b 選択エピタキシャル層
8a,8b ソース・ドレイン領域
9,13 マスク
10,21,40,52 レジスト
11,20,30,32,41 金属
12a,12b シリサイド層
31 反応抑制層
40a 開口部
50 熱酸化膜
51 ポリシリコン
53 窒化シリコン膜
DESCRIPTION OF SYMBOLS 1 Silicon substrate 2a, 2b Gate insulating film 3a, 3b Gate electrode 4a, 4b Hard mask 5a, 5b Extension area | region 6a, 6b Side wall 7a, 7b Selective epitaxial layer 8a, 8b Source / drain area | region 9,13 Mask 10,21, 40, 52 Resist 11, 20, 30, 32, 41 Metal 12a, 12b Silicide layer 31 Reaction suppression layer 40a Opening 50 Thermal oxide film 51 Polysilicon 53 Silicon nitride film

Claims (5)

複数のトランジスタを備える半導体装置の製造方法において、
半導体基板に複数のゲート電極を形成する工程と、
前記複数のゲート電極のうちの一のゲート電極上と他のゲート電極上のそれぞれに所定量の金属を堆積してシリサイド化する工程と、
を有することを特徴とする半導体装置の製造方法。
In a method for manufacturing a semiconductor device including a plurality of transistors,
Forming a plurality of gate electrodes on a semiconductor substrate;
Depositing a predetermined amount of metal on each of the gate electrode and the other gate electrode of the plurality of gate electrodes to form a silicide;
A method for manufacturing a semiconductor device, comprising:
前記複数のゲート電極のうちの前記一のゲート電極上と前記他のゲート電極上のそれぞれに所定量の金属を堆積してシリサイド化する工程においては、
前記他のゲート電極上に第1のマスクを形成し、前記第1のマスク上と前記一のゲート電極上とを含む領域に金属を堆積して前記一のゲート電極をシリサイド化した後、
シリサイド化された前記一のゲート電極上に第2のマスクを形成し、前記第2のマスク上と前記他のゲート電極上とを含む領域に金属を堆積して前記他のゲート電極をシリサイド化する、
ことを特徴とする請求項1記載の半導体装置の製造方法。
In the step of silicidizing by depositing a predetermined amount of metal on each of the one gate electrode and the other gate electrode of the plurality of gate electrodes,
Forming a first mask on the other gate electrode, depositing metal in a region including the first mask and the one gate electrode, and silicidating the one gate electrode;
A second mask is formed on the silicided one gate electrode, and a metal is deposited in a region including the second mask and the other gate electrode to silicide the other gate electrode. To
The method of manufacturing a semiconductor device according to claim 1.
前記複数のゲート電極のうちの前記一のゲート電極上と前記他のゲート電極上のそれぞれに所定量の金属を堆積してシリサイド化する工程においては、
前記一のゲート電極上と前記他のゲート電極上とを含む領域に金属を堆積し、
前記一のゲート電極上に堆積された金属を一部除去して前記一のゲート電極上に堆積されている金属の量を減少させ、
前記一のゲート電極および前記他のゲート電極をシリサイド化する、
ことを特徴とする請求項1記載の半導体装置の製造方法。
In the step of silicidizing by depositing a predetermined amount of metal on each of the one gate electrode and the other gate electrode of the plurality of gate electrodes,
Depositing a metal in a region including the one gate electrode and the other gate electrode;
Removing a portion of the metal deposited on the one gate electrode to reduce the amount of metal deposited on the one gate electrode;
Siliciding the one gate electrode and the other gate electrode;
The method of manufacturing a semiconductor device according to claim 1.
前記複数のゲート電極のうちの前記一のゲート電極上と前記他のゲート電極上のそれぞれに所定量の金属を堆積してシリサイド化する工程においては、
前記一のゲート電極上と前記他のゲート電極上とを含む領域に金属を堆積し、
前記一のゲート電極上に堆積された金属上にマスクを形成し、
前記マスク上と前記他のゲート電極上に堆積された金属上とを含む領域にさらに金属を堆積し、
前記一のゲート電極および前記他のゲート電極をシリサイド化する、
ことを特徴とする請求項1記載の半導体装置の製造方法。
In the step of silicidizing by depositing a predetermined amount of metal on each of the one gate electrode and the other gate electrode of the plurality of gate electrodes,
Depositing a metal in a region including the one gate electrode and the other gate electrode;
Forming a mask on the metal deposited on the one gate electrode;
Further depositing metal in a region including on the mask and on the metal deposited on the other gate electrode;
Siliciding the one gate electrode and the other gate electrode;
The method of manufacturing a semiconductor device according to claim 1.
前記半導体基板に前記複数のゲート電極を形成する工程においては、
前記複数のゲート電極のうちの前記一のゲート電極と前記他のゲート電極の膜厚が異なるように形成することを特徴とする請求項1記載の半導体装置の製造方法。
In the step of forming the plurality of gate electrodes on the semiconductor substrate,
2. The method of manufacturing a semiconductor device according to claim 1, wherein the first gate electrode and the other gate electrode of the plurality of gate electrodes are formed to have different film thicknesses.
JP2005090528A 2005-03-28 2005-03-28 Method of manufacturing semiconductor device Withdrawn JP2006278369A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2005090528A JP2006278369A (en) 2005-03-28 2005-03-28 Method of manufacturing semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2005090528A JP2006278369A (en) 2005-03-28 2005-03-28 Method of manufacturing semiconductor device

Publications (1)

Publication Number Publication Date
JP2006278369A true JP2006278369A (en) 2006-10-12

Family

ID=37212865

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2005090528A Withdrawn JP2006278369A (en) 2005-03-28 2005-03-28 Method of manufacturing semiconductor device

Country Status (1)

Country Link
JP (1) JP2006278369A (en)

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006324627A (en) * 2005-05-16 2006-11-30 Interuniv Micro Electronica Centrum Vzw Method of forming dual fully silicided gate and device obtained by the method
JP2008218544A (en) * 2007-03-01 2008-09-18 Nec Electronics Corp Semiconductor device and its manufacturing method
JP2008218726A (en) * 2007-03-05 2008-09-18 Renesas Technology Corp Manufacturing method of semiconductor device, and semiconductor device
JP2009503902A (en) * 2005-08-01 2009-01-29 インターナショナル・ビジネス・マシーンズ・コーポレーション Metal gate MOSFET obtained by complete conversion to semiconductor metal alloy and method of manufacturing the same
JP2009038350A (en) * 2007-06-25 2009-02-19 Interuniv Micro Electronica Centrum Vzw Dual workfunction semiconductor device
JP2009064853A (en) * 2007-09-05 2009-03-26 Sony Corp Semiconductor device and method of manufacturing the same
US7977187B2 (en) * 2008-02-27 2011-07-12 Stmicroelectronics (Crolles 2) Sas Method of fabricating a buried-gate semiconductor device and corresponding integrated circuit
US8039332B2 (en) 2008-02-27 2011-10-18 Stmicroelectronics (Crolles 2) Sas Method of manufacturing a buried-gate semiconductor device and corresponding integrated circuit
JP5262711B2 (en) * 2006-03-29 2013-08-14 富士通セミコンダクター株式会社 Semiconductor device and manufacturing method thereof

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006324627A (en) * 2005-05-16 2006-11-30 Interuniv Micro Electronica Centrum Vzw Method of forming dual fully silicided gate and device obtained by the method
JP2009503902A (en) * 2005-08-01 2009-01-29 インターナショナル・ビジネス・マシーンズ・コーポレーション Metal gate MOSFET obtained by complete conversion to semiconductor metal alloy and method of manufacturing the same
JP5262711B2 (en) * 2006-03-29 2013-08-14 富士通セミコンダクター株式会社 Semiconductor device and manufacturing method thereof
JP2008218544A (en) * 2007-03-01 2008-09-18 Nec Electronics Corp Semiconductor device and its manufacturing method
US8299536B2 (en) 2007-03-01 2012-10-30 Renesas Electronics Corporation Semiconductor device having transistors each having gate electrode of different metal ratio and production process thereof
JP2008218726A (en) * 2007-03-05 2008-09-18 Renesas Technology Corp Manufacturing method of semiconductor device, and semiconductor device
JP2009038350A (en) * 2007-06-25 2009-02-19 Interuniv Micro Electronica Centrum Vzw Dual workfunction semiconductor device
JP2009064853A (en) * 2007-09-05 2009-03-26 Sony Corp Semiconductor device and method of manufacturing the same
US7977187B2 (en) * 2008-02-27 2011-07-12 Stmicroelectronics (Crolles 2) Sas Method of fabricating a buried-gate semiconductor device and corresponding integrated circuit
US8039332B2 (en) 2008-02-27 2011-10-18 Stmicroelectronics (Crolles 2) Sas Method of manufacturing a buried-gate semiconductor device and corresponding integrated circuit

Similar Documents

Publication Publication Date Title
JP5297869B2 (en) Method for manufacturing dual work function semiconductor device and the device
CN108470733B (en) Method for manufacturing semiconductor device
US20090227079A1 (en) Semiconductor device and manufacturing method thereof
JP2006278369A (en) Method of manufacturing semiconductor device
JP2009509324A (en) Semiconductor device and manufacturing method thereof
US8299536B2 (en) Semiconductor device having transistors each having gate electrode of different metal ratio and production process thereof
JP2009519589A (en) MOS transistor with improved short channel effect control and method of manufacturing the same
JP2007201063A (en) Semiconductor device and manufacturing method thereof
WO2007058042A1 (en) Semiconductor device and method for manufacturing same
JP2006156807A (en) Semiconductor device and its manufacturing method
JP2006196646A (en) Semiconductor device and its manufacturing method
US7833867B2 (en) Semiconductor device and method for manufacturing the same
JP2008103644A (en) Semiconductor device and production method thereof
US8076203B2 (en) Semiconductor device and method of manufacturing the same
JP2009043938A (en) Semiconductor apparatus and manufacturing method therefor
JP4504727B2 (en) Semiconductor device and manufacturing method thereof
JP2009188267A (en) Semiconductor device and method of manufacturing the same
JP2007287793A (en) Manufacturing method of semiconductor device
JP2010021363A (en) Semiconductor device and method of producing the same
JP2005243664A (en) Semiconductor device and its manufacturing method
JP4401358B2 (en) Manufacturing method of semiconductor device
JP4957040B2 (en) Semiconductor device and manufacturing method of semiconductor device.
JP4602138B2 (en) Manufacturing method of semiconductor device
JP2005086179A (en) Semiconductor device and method of manufacturing same
JP2007027323A (en) Semiconductor device and its manufacturing method

Legal Events

Date Code Title Description
A300 Withdrawal of application because of no request for examination

Free format text: JAPANESE INTERMEDIATE CODE: A300

Effective date: 20080603