TWI447908B - 具有減少之閘極氧化物洩漏的取代金屬閘極電晶體 - Google Patents

具有減少之閘極氧化物洩漏的取代金屬閘極電晶體 Download PDF

Info

Publication number
TWI447908B
TWI447908B TW095140692A TW95140692A TWI447908B TW I447908 B TWI447908 B TW I447908B TW 095140692 A TW095140692 A TW 095140692A TW 95140692 A TW95140692 A TW 95140692A TW I447908 B TWI447908 B TW I447908B
Authority
TW
Taiwan
Prior art keywords
layer
gate
metal
oxide
gate electrode
Prior art date
Application number
TW095140692A
Other languages
English (en)
Other versions
TW200802862A (en
Inventor
James Pan
John Pellerin
Original Assignee
Advanced Micro Devices Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Advanced Micro Devices Inc filed Critical Advanced Micro Devices Inc
Publication of TW200802862A publication Critical patent/TW200802862A/zh
Application granted granted Critical
Publication of TWI447908B publication Critical patent/TWI447908B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28088Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a composite, e.g. TiN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28238Making the insulator with sacrificial oxide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/495Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a simple metal, e.g. W, Mo
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/511Insulating materials associated therewith with a compositional variation, e.g. multilayer structures
    • H01L29/513Insulating materials associated therewith with a compositional variation, e.g. multilayer structures the variation being perpendicular to the channel plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78606Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device
    • H01L29/78609Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device for preventing leakage current

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Composite Materials (AREA)
  • Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Formation Of Insulating Films (AREA)

Description

具有減少之閘極氧化物洩漏的取代金屬閘極電晶體
本發明係關於包括電晶體的半導體裝置,該等電晶體具有金屬閘極電極與減少之閘極氧化物洩漏(leakage)。本發明特別可應用於具有次微米(submicron)設計特徵的高速半導體裝置。
在單一積體電路上整合數億個電路元件(例如電晶體)需要使電路元件包括互連(interconnection)結構之實際尺寸更可觀的縮小或微小型化(micro-miniaturization)。微小型化已在電晶體工程複雜度上產生可觀的增加,諸如包含漸變式井摻雜(graded well-doping)、磊晶生長(epitaxial)的晶圓、環形植入物(halo implant)、尖端植入物(tip implant)、輕濃度摻雜(lightly doped)汲極結構、用於源極/汲極區域的多種植入物、閘極與源極/汲極的矽化(silicidation)及多種側壁間隔件(sidewall spacer)。
高效能的需求需要微電子組件的高速操作,而該等微電子組件除了低洩漏外(亦即,低截止狀態電流(low off-state current))還需要高驅動電流,以降低電力消耗。結構與摻雜參數典型地傾向於提供所希望的驅動電流的增加,而不利地影響洩漏電流。
金屬閘極電極已進化成藉由減少多晶矽耗乏(polysilicon depletion)用來改善驅動電流,並降低形成金屬閘極後的處理溫度。為實行取代金屬閘極的處理流程,係藉由乾/濕蝕刻來移除假閘極(dummy gate)(例如多晶矽),然後進行金屬沉積。
對於更微小型化的持續需求需要減小電晶體的特徵尺寸,包括用於金屬閘極電晶體的閘極氧化物層。必須減小此等閘極氧化物層以達成極薄的有效氧化物厚度(effective oxide thickness,EOT)。但因為有洩漏電流,企圖形成厚度約15埃()的閘極氧化物的此目的係不切實際。
因此,需要具有減小的EOT及減小的洩漏電流的閘極氧化物的金屬閘極電晶體。亦需要能製造包括具有減小的EOT的閘極氧化物的金屬閘極電晶體的半導體裝置而不需犧牲裝置速度的方法。
本發明之優點係一種具有電晶體之半導體裝置,該電晶體減小的EOT及減少的洩漏電流的閘極氧化物與金屬閘極電極。
本發明之另一優點係一種製造包括電晶體之半導體裝置之方法,該電晶體具有減少的EOT及減少的洩漏電流的閘極氧化物與金屬閘極電極。
將在以下說明中提出本發明之額外優點及其他特徵,且該等額外優點及其他特徵部份在該技術領域具有通常知識者在查看過下列說明後將變的明白,或部份可從本發明之實施而了解。如在所附申請專利範圍中特別指出者,可實現及獲得本發明之優點。
根據本發明,藉由一種半導體裝置部份地達成前述及其他優點,該半導體裝置包括:基板;在該基板上之閘極介電層;在該閘極介電層上之保護層;以及在該保護層上之金屬閘極電極;其中,該保護層在該閘極介電層與該金屬閘極電極之間具有漸變之組成(graded composition)。
本發明之實施例包括具有閘極氧化物(例如,氧化矽)及其上之保護層的金屬閘極電晶體。此實施例之態樣包括保護層,該保護層包括含有金屬碳化物的非晶形碳(amorphous carbon)層,該金屬碳化物係藉由在濃度高達約50原子百分比(at.%)時使金屬從閘極電極擴散進入該非晶形碳層而形成。典型地,金屬碳化物的濃度在接近非晶形碳層與金屬閘極電極之間的介面為約80原子百分比,並跨過該非晶形碳層而減少至與閘極氧化物層之介面處約20原子百分比。實施例亦包括沉積含有至少一個額外元素(例如氧、矽及/或氮)的非晶形碳層。
本發明之另一態樣為一種半導體裝置,包括:基板;在該基板上之閘極介電層;以及,在該閘極介電層上之金屬閘極電極;其中,該閘極介電層包括氧化物層,該氧化物層含有具有大於4之介電常數(k)的氧化物;以及矽,集中在該氧化物層與該基板及與該金屬閘極電極的介面處。
實施例包括形成閘極介電層,該閘極介電層包括在基板上的多晶矽層、在第一多晶矽層上之含有高介電常數氧化物的氧化物層、及在氧化物層與鄰近之金屬閘極電極上的第二多晶矽層。
本發明之另一態樣為一種製造具有金屬閘極電極之電晶體的半導體裝置的方法,該方法包括下列步驟:在基板之上形成可移除的閘極,該基板與該可移除的閘極之間具有閘極介電層;在該基板之上形成介電層並將該可移除的閘極之上表面暴露出來;移除該可移除的閘極,留下在該介電層中之由該閘極介電層定義其底部並由該介電層之暴露表面定義其側邊的開孔(opening);在該閘極介電層上形成保護層並作為該開孔的襯裡(lining);以及在該開孔中形成金屬閘極電極;其中,該保護層在該閘極介電層與該金屬閘極電極之間具有漸變之組成。
實施例包括藉由化學氣相沉積(CVD)或原子層沉積(ALD)來沉積非晶形碳層,並加熱至升高的溫度以擴散金屬(例如,鈷(Co)、鎳(Ni)、鉭(Ta)或鉬(Mo))進入該非晶形碳層來形成金屬碳化物,該金屬碳化物之濃度從金屬閘極電極跨過該非晶形碳層至該閘極介電層而減少。
對熟習此技術領域者而言,從下列的詳述將使本發明之額外優點變的明白,其中所描述之本發明的實施例,僅藉由所思及用於實行本發明之最佳模式來說明。應了解,本發明係能有其他及不同的實施例,且其數項細節能在各種明顯態樣中修改,而皆不脫離本發明。因此,所附圖式及敘述在本質上係視為例示的,而非限制的。
本發明提出並解決關於形成多晶矽閘極電極的習知實施所帶來的問題,該等問題係由其高電阻係數所造成,並因此降低了操作速度。本發明亦提出並解決企圖減小取代金屬閘極電晶體之閘極氧化物厚度所帶來的問題,例如增加的洩漏電流及降低的操作速度。
本發明提出並藉由提供沒有增加洩漏並具有減小的EOT之閘極氧化物(例如,具有小於15埃(諸如5埃至12埃之厚度,例如,10埃)之厚度的閘極氧化物)的金屬閘極電晶體,及藉由包括在閘極氧化物層上形成保護層的技術,其中該保護層在該閘極氧化物層與該閘極電極層之間具有漸變之組成(graded composition),來解決此等問題。漸變保護層的形成減少了在該閘極電極與該閘極氧化物層之間的應力(stress),因而減少了缺陷,並因此減少了洩漏電流。
根據本發明之實施例,在移除了可移除的或“假”閘極(例如多晶矽閘極)後,係在暴露的閘極氧化物上沉積非晶形碳層並作為藉由移除該“假”閘極所產生之開孔的襯裡。然後接著藉由化學機械研磨(CMP)沉積金屬層(例如Ta、Ni、Co、Mo)以形成取代金屬閘極。然後,進行加熱將來自金屬閘極電極之金屬擴散進入非晶形碳層以形成金屬碳化物。
本發明之實施例包括加熱至約300℃至600℃之溫度(例如,400℃)約30秒至約5分鐘,在真空下或適當大氣下,例如氬、氮或含有約4體積百分比(vol.%)的氫及約96體積百分比的氮的混和氣體(forming gas)。在加熱期間,如在高達約50原子百分比的量時,來自閘極電極之金屬擴散進入非晶形碳層並形成金屬碳化物。保護層所造成的結構為漸變之組成,該金屬碳化物在非晶形碳層與閘極電極之介面處含有較高量之金屬碳化物,其跨過該非晶形碳層至閘極氧化物層而減少。本發明之實施例包括加熱以擴散來自金屬閘極電極之金屬進入非晶形碳層以形成包括在接近與取代金屬閘極電極的介面約80原子百分比金屬碳化物的漸變之組成,該金屬碳化物之濃度跨過該非晶形碳層而逐漸降低至接近與閘極氧化物層的介面約20原子百分比的濃度。漸變之組成係有利地增強閘極氧化物層與金屬閘極電極之間的相容性,因而減少應力,並因此減少缺陷而造成減少的洩漏電流及增加的操作速度。
在本發明之另一實施例中,閘極氧化物層係由高介電常數材料(例如,1為以真空為基礎,具有介電常數(k)大於或等於4的介電材料)形成。本發明之實施例包括從具有介電常數(k)為4至小於約500(例如,約4至小於約40)的介電材料形成閘極介電層。本發明之實施例亦包括從具有介電常數(k)約4至約30(例如,約4至約20)的介電材料形成閘極介電材料。適合的介電材料包括氧化鉭(Ta2 O5 )、氧化鉿(Hf2 O3 )及硫化矽鉿(HfSiS3 )。在此等實施例中,已發現有利於在該閘極氧化物層與基板之間的介面處及在該閘極氧化物層與取代金屬閘極電極之間的介面處形成具有高濃度的矽的閘極氧化物層。其他實施例包括在高介電常數的閘極氧化物層與基板之間的介面處形成多晶矽層,及在高介電常數的閘極氧化物層與取代金屬閘極電極之間的介面處形成多晶矽層。
本發明之實施例係示意地顯示在第1至7圖中。注意到第1圖,暫時可取代的或假閘極11(例如多晶矽)形成於基板10上,並有閘極介電層12夾在這兩者之間(例如氧化矽)。本發明之實施例亦包括沉積高介電常數材料,用於閘極介電層12,例如ZrO2 、HfO2 、Hf2 O3 、HfSiO3 、InO2 、LaO2 、Ta2 O3 及TaO2 。然後形成淺源極/汲極延伸部13。然後,在可移除的閘極11上形成介電側壁間隔件15,例如氧化矽、氮化矽或氧氮化矽。然後進行離子植入(ion implantation)以形成深源極/汲極區域14,接著進行矽化以形成金屬矽化層16在源極/汲極區域13之暴露表面上,例如藉由沉積鎳(Ni)層然後加熱的矽化鎳。第1圖中所示之操作步驟係以習知方式實行。
注意到第2圖,沉積介電材料層(例如氧化矽(例如由四乙基氧矽(tetraethyl orthosilicate,TEOS)形成的氧化矽))接著由化學機械研磨(CMP)形成介電層20。應了解,在第1圖或第2圖中顯示之階段,或甚至在沉積取代金屬閘極電極之前之在第3圖中顯示之階段,淺源極/汲極延伸部13與源極/汲極區域14係藉由高溫熱退火(例如在約900℃及以上之溫度)而活化(activate)。
如第3圖中所示,如藉由蝕刻(例如,利用氫氟酸與硝酸在醋酸中的溶液),移除取代或假閘極11。根據此實施例之態樣,沉積非晶形碳層40作為藉由移除假多晶矽閘極11所產生之開孔的襯裡,如第4圖中示意地顯示者。本發明之實施例包括藉由CVD或ALD沉積非晶形碳層40。典型地非晶形碳層係沉積為厚度高達約50埃,例如約10至約50埃(例如,約25至35埃)。藉由沉積非晶形碳層在約30埃的厚度可獲得合適的結果。
接著,如第5圖中所示,如藉由物理氣相沉積技術,沉積導電材料(例如鉭、鎳、鈷及鉬)層50。本發明之實施例包括沉積最初的金屬(例如鉭、鎳、鈷或鉬)層,然後沉積銅(Cu)或銅合金層。然後實行CMP以平坦化所沉積之導電材料的上表面,因而完成如第6圖中所示之金屬閘極60。
在本發明之另一實施例中,藉由CVD沉積非晶形碳層,並包含至少一個元素(例如氧、矽及氮)在總量中約_原子百分比至約_原子百分比。實施例包括藉由利用氧、矽烷(silane)(SiH4 )及氮的CVD的沉積。在最初的非晶形碳層中之氧、矽及/或氮的夾雜物進一步減少應力及由應力所造成的缺陷,因而減少了洩漏電流。
接著,加熱係典型地實行在約300℃至約600℃之溫度(例如,400℃)約30秒至約5分鐘,在真空下或在適當大氣下,例如,氬、氮或含有約4體積百分比的氫及約96體積百分比的氮的混和氣體。在加熱期間,來自取代金屬閘極的金屬擴散進入非晶形碳層40形成碳化物,該碳化物之濃度從在非晶形電流層40與金屬閘極60之間的介面跨過非晶形碳層40朝向閘極介電層12逐漸減少。所造成的結構顯示在第7圖中,元件70代表具有金屬碳化物於其中之非晶形碳的保護層。
加熱係典型地在足以形成高達50原子百分比的金屬碳化物的條件下進行。金屬碳化物濃度範圍典型地從在接近保護層70與金屬閘極電極60之間的介面約80原子百分比,跨過保護層70的厚度而逐漸減少至在接近保護層70與閘極氧化物層12之間的介面約20原子百分比。具有漸變之組成的保護層70改善了相容性因而減少了應力,並因此,減少了在閘極電極/閘極氧化物介面處所產生的缺陷。以此方式,EOT能顯著地減小而不會有伴隨之不利的洩漏電流的增加。
本發明之另一實施例係示意地顯示在第8至12圖中。注意到第8圖,處理係如第1至3圖般實行,第8圖實質上係對應至第3圖。於此時,閘極氧化物層係藉由使用習知的氫氟酸蝕刻移除,造成第9圖中所示之中間結構。在此實施例中,新的閘極氧化物形成,而由於電漿處理以移除多晶矽之假閘極或取代金屬閘極之沉積,該新的閘極氧化物不會包含輻射損害(radiation damage)。根據此實施例之態樣,形成新的閘極介電質,其包括具有矽的高介電常數材料,該矽集中濃縮在與基板之間的介面處及與金屬閘極電極之間的介面處,因而形成與該基板及該金屬閘極兩者相容的漸變閘極介電層,並因此,減少了應力及相關的缺陷。
在移除原始的閘極氧化物12之後,形成漸變的高介電常數閘極氧化物。此實施例之態樣包括沉積最初的多晶矽層81,顯示於第10圖中,沉積高介電常數材料82(例如Ta2 O5 、Hf2 O3 或HfSiO3 )於該多晶矽層81上,然後沉積另一多晶矽層83於該高介電常數材料82上。如第11圖中所示,然後沉積金屬90。此實施例之態樣包括沉積最初的鉭層,接著沉積銅或銅合金層。
然後實行CMP,造成第12圖中示意地顯示的結構,該結構包括金屬閘極電極100。含有矽濃縮在與基板10之間的介面處及與金屬閘極電極100之間的介面處的漸變之組成閘極介電層顯著地減少了應力,並因此,減少了缺陷,因而使得洩漏電流沒有增加而減小的EOT成為可能。
本發明之實施例包括使用各種類型的非晶形碳,例如含氫的碳(例如,具有氫濃度約5原子百分比至約40原子百分比(典型為約20原子百分比至約30原子百分比)的非晶形氫化碳)。本發明之實施例亦包括非晶形氮化碳(amorphous carbon nitride),有時稱之為氮化碳(nitrogenated carbon),通常具有氮對氫濃度比約5:20至30:0。亦可使用非晶形氫-氮化碳(amorphous hydrogen-nitrogenated carbon)。
本發明提供能夠製造具有金屬閘極電極之電晶體及具有極薄的EOT之閘極氧化物的半導體裝置,而防止洩漏電流產生的方法。本發明能夠調整跨於在閘極氧化物層上之保護層的漸變輪廓(graded profile)的電壓Vc。
本發明享有製造各種類型之半導體裝置的工業應用性。本發明特別應用在製造具有次微米特徵的半導體裝置及顯現出高驅動電流與最小化的洩漏電流。
在前面敘述中,提出了許多特定細節(例如特定的材料、結構、化學品、製程等)以提供本發明之較佳了解。然而,本發明不需依靠特定提出之細節亦能實施。在其他範例中,沒有詳細敘述熟知的處理及材料係為了不必要的混淆本發明。
在本申請案中僅顯示及敘述本發明之較佳實施例及一些其多用途的範例。應了解到,本發明能使用在各種其他的組合及環境中,並能在此所陳述之本發明概念的範疇內作改變或修改。
10...基板
11...假閘極;可移除的閘極;假多晶矽閘極
12...閘極介電層;閘極氧化物層;閘極氧化物
13...淺源極/汲極延伸部;源極/汲極區域
14...深源極/汲極區域;源極/汲極區域
15...介電側壁間隔件
16...金屬矽化層
20...介電層
40...非晶形碳層;非晶形電流層
50...導電材料層
60...金屬閘極;金屬閘極電極
70...元件;保護層
81、83...多晶矽層
82...高介電常數材料
90...金屬
100...金屬閘極電極
第1至7圖係示意地顯示根據本發明實施例之方法的連續階段;以及第8至12圖係代表本發明之另一實施例的連續階段。
在第1至12圖中,相似的元件符號代表相似的特徵。
10...基板
12...閘極氧化物層
13...淺源極/汲極延伸部
14...深源極/汲極區域
15...介電側壁間隔件
16...金屬矽化層
20...介電層
60...金屬閘極電極
70...保護層

Claims (13)

  1. 一種半導體裝置,包括:基板;在該基板上之閘極介電層;在該閘極介電層上之保護層;以及在該保護層上之金屬閘極電極;其中,該保護層在該閘極介電層與該金屬閘極電極之間具有漸變之組成,該閘極介電層包括氧化物,該保護層包括非晶形碳層,且該非晶形碳層含有金屬碳化物,該金屬碳化物之濃度從該金屬閘極電極跨過該非晶形碳層至該閘極氧化物而減少,其中,該金屬碳化物從與該金屬閘極電極之介面處約80原子百分比,跨過該非晶形碳層,減少至與該閘極氧化物層之介面處約20原子百分比。
  2. 如申請專利範圍第1項之半導體裝置,其中,該金屬係選自由鉭、鎳、鈷及鉬所構成之群組。
  3. 如申請專利範圍第1項之半導體裝置,其中,該非晶形碳層含有選自由氧、矽及氮所構成之群組中的至少一個元素。
  4. 如申請專利範圍第1項之半導體裝置,其中,該保護層具有約10埃至約50埃的厚度。
  5. 如申請專利範圍第4項之半導體裝置,其中,該保護層具有約25埃至約35埃的厚度。
  6. 一種半導體裝置,包括: 基板;在該基板上之閘極介電層;以及在該閘極介電層上之金屬閘極電極,其中,該閘極介電層包括在該基板上之第一多晶矽層、在該第一多晶矽層上之氧化層以及在該氧化物層上並鄰近於該金屬閘極電極之第二多晶矽層,以及其中,該氧化物層含有氧化物,該氧化物具有大於4之介電常數(k),以及集中在該氧化物層與該基板之間及與該金屬閘極電極之間的介面處之矽。
  7. 如申請專利範圍第6項之半導體裝置,其中,具有大於4之介電常數(k)的該氧化物包括Ta2 O5 、Hf2 O3 或HfSiO3
  8. 一種製造具有金屬閘極電極之電晶體之半導體裝置的方法,該方法包括下列步驟:在基板之上形成可移除的閘極,該基板與該可移除的閘極之間具有閘極介電層;在該基板之上形成介電層並將該可移除的閘極之上表面暴露出來;移除該可移除的閘極,留下在該介電層中之由該閘極介電層定義其底部並由該介電層之暴露表面定義其側邊的開孔;在該閘極介電層上形成保護層並作為該開孔的襯裡;其中,藉由化學氣相沉積或原子層沉積來沉積非晶形碳層; 以及,加熱以使金屬從該金屬閘極電極擴散進入該非晶形碳層以形成高達50原子百分比的金屬碳化物,該金屬碳化物之濃度從該金屬閘極電極跨過該非晶形碳層至該閘極介電層而減少,其中,該閘極介電層包括氧化物;以及,在該開孔中形成金屬閘極電極;其中,該保護層在該閘極介電層與該金屬閘極電極之間具有漸變之組成。
  9. 如申請專利範圍第8項之方法,其中,該金屬係選自由鈷、鎳、鉭及鉬所構成之群組。
  10. 如申請專利範圍第8項之方法,包括加熱以形成該金屬碳化物,該金屬碳化物之濃度從與該金屬閘極電極之介面處約80原子百分比,跨過該非晶形碳層,減少至與該閘極氧化物層之介面處約20原子百分比。
  11. 如申請專利範圍第8項之方法,其中,該非晶形碳層含有選自由矽、氧及氮所構成之群組中的至少一個元素。
  12. 如申請專利範圍第8項之方法,包括形成該保護層為約10埃至約50埃的厚度。
  13. 如申請專利範圍第12項之方法,包括形成該保護層為約25埃至約35埃的厚度。
TW095140692A 2005-11-09 2006-11-03 具有減少之閘極氧化物洩漏的取代金屬閘極電晶體 TWI447908B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/269,745 US8053849B2 (en) 2005-11-09 2005-11-09 Replacement metal gate transistors with reduced gate oxide leakage

Publications (2)

Publication Number Publication Date
TW200802862A TW200802862A (en) 2008-01-01
TWI447908B true TWI447908B (zh) 2014-08-01

Family

ID=38002899

Family Applications (2)

Application Number Title Priority Date Filing Date
TW102129781A TWI447913B (zh) 2005-11-09 2006-11-03 具有減少之閘極氧化物洩漏的取代金屬閘極電晶體
TW095140692A TWI447908B (zh) 2005-11-09 2006-11-03 具有減少之閘極氧化物洩漏的取代金屬閘極電晶體

Family Applications Before (1)

Application Number Title Priority Date Filing Date
TW102129781A TWI447913B (zh) 2005-11-09 2006-11-03 具有減少之閘極氧化物洩漏的取代金屬閘極電晶體

Country Status (8)

Country Link
US (3) US8053849B2 (zh)
EP (2) EP2149908B1 (zh)
JP (2) JP5227799B2 (zh)
KR (3) KR101375800B1 (zh)
CN (2) CN101819928B (zh)
DE (1) DE602006014713D1 (zh)
TW (2) TWI447913B (zh)
WO (1) WO2007056093A2 (zh)

Families Citing this family (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102110710A (zh) * 2009-12-23 2011-06-29 中国科学院微电子研究所 形成有沟道应力层的半导体结构及其形成方法
CN102456558B (zh) * 2010-10-25 2013-10-23 中芯国际集成电路制造(上海)有限公司 一种高介电常数介质-金属栅极的制造方法
US20120190216A1 (en) * 2011-01-20 2012-07-26 International Business Machines Corporation Annealing techniques for high performance complementary metal oxide semiconductor (cmos) device fabrication
US9595477B2 (en) 2011-01-20 2017-03-14 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device including an epitaxy region
JP5648523B2 (ja) * 2011-02-16 2015-01-07 富士通株式会社 半導体装置、電源装置、増幅器及び半導体装置の製造方法
CN102810477B (zh) * 2011-05-31 2015-04-01 中芯国际集成电路制造(上海)有限公司 半导体器件及其形成方法
CN103137461B (zh) * 2011-12-02 2015-10-14 中芯国际集成电路制造(上海)有限公司 高k栅介质层的形成方法及形成装置、晶体管的形成方法
JP5968708B2 (ja) 2012-01-23 2016-08-10 ルネサスエレクトロニクス株式会社 半導体装置
US8680511B2 (en) * 2012-02-09 2014-03-25 International Business Machines Corporation Bilayer gate dielectric with low equivalent oxide thickness for graphene devices
US9511560B2 (en) * 2012-04-13 2016-12-06 Infineon Technologies Ag Processing a sacrificial material during manufacture of a microfabricated product
US20130277765A1 (en) * 2012-04-23 2013-10-24 Globalfoundries Inc. Semiconductor device including graded gate stack, related method and design structure
JP5953940B2 (ja) * 2012-05-29 2016-07-20 トヨタ自動車株式会社 表面処理方法および塗型剤の製造方法
US20140264490A1 (en) 2013-03-18 2014-09-18 International Business Machines Corporation Replacement gate electrode with a self-aligned dielectric spacer
KR102394887B1 (ko) 2014-09-01 2022-05-04 삼성전자주식회사 반도체 장치의 제조 방법
US9960161B2 (en) 2016-01-12 2018-05-01 International Business Machines Corporation Low resistive electrode for an extendable high-k metal gate stack
US10083862B2 (en) 2016-09-12 2018-09-25 International Business Machines Corporation Protective liner between a gate dielectric and a gate contact
CN109585546A (zh) * 2017-09-29 2019-04-05 中芯国际集成电路制造(上海)有限公司 半导体器件及其形成方法
CN110034022B (zh) * 2018-01-12 2022-04-15 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法

Citations (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1135656A (zh) * 1995-02-27 1996-11-13 现代电子产业株式会社 制造金属氧化物半导体场效应晶体管的方法
US5940698A (en) * 1997-12-01 1999-08-17 Advanced Micro Devices Method of making a semiconductor device having high performance gate electrode structure
US6020243A (en) * 1997-07-24 2000-02-01 Texas Instruments Incorporated Zirconium and/or hafnium silicon-oxynitride gate dielectric
JP2000077658A (ja) * 1998-08-28 2000-03-14 Toshiba Corp 半導体装置の製造方法
JP2000260979A (ja) * 1999-03-11 2000-09-22 Toshiba Corp 半導体装置およびその製造方法
JP2001274381A (ja) * 2000-03-27 2001-10-05 Toshiba Corp 半導体装置の製造方法
US6586797B2 (en) * 2001-08-30 2003-07-01 Micron Technology, Inc. Graded composition gate insulators to reduce tunneling barriers in flash memory devices
JP2003204061A (ja) * 2002-01-08 2003-07-18 Toshiba Corp 半導体装置及び半導体装置の製造方法
US6713358B1 (en) * 2002-11-05 2004-03-30 Intel Corporation Method for making a semiconductor device having a high-k gate dielectric
US6727560B1 (en) * 2003-02-10 2004-04-27 Advanced Micro Devices, Inc. Engineered metal gate electrode
CN1525542A (zh) * 2003-02-28 2004-09-01 ���ǵ�����ʽ���� 具有抬升的源极和漏极结构的金氧半晶体管及其制造方法
US20050037557A1 (en) * 2003-08-15 2005-02-17 Mark Doczy Transition metal alloys for use as a gate electrode and devices incorporating these alloys
US20050051854A1 (en) * 2003-09-09 2005-03-10 International Business Machines Corporation Structure and method for metal replacement gate of high performance
US20050181555A1 (en) * 2000-03-07 2005-08-18 Haukka Suvi P. Thin films
US20050224897A1 (en) * 2004-03-26 2005-10-13 Taiwan Semiconductor Manufacturing Co., Ltd. High-K gate dielectric stack with buffer layer to improve threshold voltage characteristics

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB2286723B (en) * 1992-12-11 1997-01-08 Intel Corp A mos transistor having a composite gate electrode and method of fabrication
US5726080A (en) * 1996-11-26 1998-03-10 Eastman Kodak Company Method of performing edge-aligned implants
US6015739A (en) * 1997-10-29 2000-01-18 Advanced Micro Devices Method of making gate dielectric for sub-half micron MOS transistors including a graded dielectric constant
JP2000101331A (ja) * 1998-09-25 2000-04-07 Tokin Corp 2共振ヘリカルアンテナ
JP2000188394A (ja) * 1998-12-21 2000-07-04 Hitachi Ltd 半導体装置及びその製造方法
US6559051B1 (en) * 2000-10-05 2003-05-06 Advanced Micro Devices, Inc. Electroless deposition of dielectric precursor materials for use in in-laid gate MOS transistors
JP2002134739A (ja) * 2000-10-19 2002-05-10 Mitsubishi Electric Corp 半導体装置及びその製造方法
US6713846B1 (en) * 2001-01-26 2004-03-30 Aviza Technology, Inc. Multilayer high κ dielectric films
JP2002314072A (ja) * 2001-04-19 2002-10-25 Nec Corp 高誘電体薄膜を備えた半導体装置及びその製造方法並びに誘電体膜の成膜装置
JP3773448B2 (ja) * 2001-06-21 2006-05-10 松下電器産業株式会社 半導体装置
WO2003019643A1 (fr) * 2001-08-23 2003-03-06 Nec Corporation Dispositif semi-conducteur comportant un film isolant presentant une permittivite elevee et son procede de production
US6812515B2 (en) * 2001-11-26 2004-11-02 Hynix Semiconductor, Inc. Polysilicon layers structure and method of forming same
US6818553B1 (en) * 2002-05-15 2004-11-16 Taiwan Semiconductor Manufacturing Company, Ltd. Etching process for high-k gate dielectrics
US6621114B1 (en) * 2002-05-20 2003-09-16 Advanced Micro Devices, Inc. MOS transistors with high-k dielectric gate insulator for reducing remote scattering
JP4574951B2 (ja) * 2003-02-26 2010-11-04 株式会社東芝 半導体装置及びその製造方法
EP1487013A3 (en) * 2003-06-10 2006-07-19 Samsung Electronics Co., Ltd. SONOS memory device and method of manufacturing the same
JP2005079306A (ja) * 2003-08-29 2005-03-24 Semiconductor Leading Edge Technologies Inc 半導体装置の製造方法
US7105430B2 (en) * 2004-03-26 2006-09-12 Freescale Semiconductor, Inc. Method for forming a semiconductor device having a notched control electrode and structure thereof
US7074680B2 (en) * 2004-09-07 2006-07-11 Intel Corporation Method for making a semiconductor device having a high-k gate dielectric
US7291526B2 (en) * 2004-12-06 2007-11-06 Infineon Technologies Ag Semiconductor device and method of manufacture thereof
KR100677042B1 (ko) * 2004-12-23 2007-01-31 동부일렉트로닉스 주식회사 반도체 소자의 게이트 형성 방법
US7479425B2 (en) * 2005-01-20 2009-01-20 Chartered Semiconductor Manufacturing, Ltd Method for forming high-K charge storage device
US7504700B2 (en) * 2005-04-21 2009-03-17 International Business Machines Corporation Method of forming an ultra-thin [[HfSiO]] metal silicate film for high performance CMOS applications and semiconductor structure formed in said method
US7294547B1 (en) * 2005-05-13 2007-11-13 Advanced Micro Devices, Inc. SONOS memory cell having a graded high-K dielectric
US8110469B2 (en) * 2005-08-30 2012-02-07 Micron Technology, Inc. Graded dielectric layers

Patent Citations (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1135656A (zh) * 1995-02-27 1996-11-13 现代电子产业株式会社 制造金属氧化物半导体场效应晶体管的方法
US6020243A (en) * 1997-07-24 2000-02-01 Texas Instruments Incorporated Zirconium and/or hafnium silicon-oxynitride gate dielectric
US5940698A (en) * 1997-12-01 1999-08-17 Advanced Micro Devices Method of making a semiconductor device having high performance gate electrode structure
JP2000077658A (ja) * 1998-08-28 2000-03-14 Toshiba Corp 半導体装置の製造方法
JP2000260979A (ja) * 1999-03-11 2000-09-22 Toshiba Corp 半導体装置およびその製造方法
US20050181555A1 (en) * 2000-03-07 2005-08-18 Haukka Suvi P. Thin films
JP2001274381A (ja) * 2000-03-27 2001-10-05 Toshiba Corp 半導体装置の製造方法
US6586797B2 (en) * 2001-08-30 2003-07-01 Micron Technology, Inc. Graded composition gate insulators to reduce tunneling barriers in flash memory devices
JP2003204061A (ja) * 2002-01-08 2003-07-18 Toshiba Corp 半導体装置及び半導体装置の製造方法
US6713358B1 (en) * 2002-11-05 2004-03-30 Intel Corporation Method for making a semiconductor device having a high-k gate dielectric
US6727560B1 (en) * 2003-02-10 2004-04-27 Advanced Micro Devices, Inc. Engineered metal gate electrode
CN1525542A (zh) * 2003-02-28 2004-09-01 ���ǵ�����ʽ���� 具有抬升的源极和漏极结构的金氧半晶体管及其制造方法
US20050037557A1 (en) * 2003-08-15 2005-02-17 Mark Doczy Transition metal alloys for use as a gate electrode and devices incorporating these alloys
US20050051854A1 (en) * 2003-09-09 2005-03-10 International Business Machines Corporation Structure and method for metal replacement gate of high performance
US20050224897A1 (en) * 2004-03-26 2005-10-13 Taiwan Semiconductor Manufacturing Co., Ltd. High-K gate dielectric stack with buffer layer to improve threshold voltage characteristics

Also Published As

Publication number Publication date
CN101300680A (zh) 2008-11-05
EP1946379A2 (en) 2008-07-23
DE602006014713D1 (de) 2010-07-15
TW200802862A (en) 2008-01-01
CN101819928A (zh) 2010-09-01
US20070102776A1 (en) 2007-05-10
US8445975B2 (en) 2013-05-21
KR20080066880A (ko) 2008-07-16
EP2149908B1 (en) 2011-10-19
KR20130091784A (ko) 2013-08-19
JP2013138213A (ja) 2013-07-11
EP2149908A1 (en) 2010-02-03
KR101390977B1 (ko) 2014-05-02
KR20130036078A (ko) 2013-04-09
KR101286309B1 (ko) 2013-07-18
EP1946379B1 (en) 2010-06-02
US8053849B2 (en) 2011-11-08
TWI447913B (zh) 2014-08-01
US20130244412A1 (en) 2013-09-19
WO2007056093A3 (en) 2007-10-11
US8753943B2 (en) 2014-06-17
US20120049196A1 (en) 2012-03-01
CN101300680B (zh) 2010-10-27
JP5227799B2 (ja) 2013-07-03
WO2007056093A2 (en) 2007-05-18
JP6076755B2 (ja) 2017-02-08
JP2009515363A (ja) 2009-04-09
CN101819928B (zh) 2012-12-05
TW201351655A (zh) 2013-12-16
KR101375800B1 (ko) 2014-03-19

Similar Documents

Publication Publication Date Title
TWI447908B (zh) 具有減少之閘極氧化物洩漏的取代金屬閘極電晶體
TWI248121B (en) A method for making a semiconductor device that includes a metal gate electrode
US8395215B2 (en) Germanium field effect transistors and fabrication thereof
EP1892759A2 (en) Method for Fabricating dual-metal gate CMOS transistors
US7939396B2 (en) Base oxide engineering for high-K gate stacks
US7060571B1 (en) Semiconductor device with metal gate and high-k tantalum oxide or tantalum oxynitride gate dielectric
US6861350B1 (en) Method of manufacturing semiconductor device comprising silicon-rich tasin metal gate electrode
WO2014066881A1 (en) Method to improve reliability of high-k metal gate stacks
JP3399413B2 (ja) 酸窒化膜およびその形成方法
US20180350946A1 (en) Method of frabriccating semiconductor device with adhesion layer
US6893910B1 (en) One step deposition method for high-k dielectric and metal gate electrode
JP2005079215A (ja) 半導体装置の製造方法
CN105140285B (zh) 一种垂直导电结构SiC MOSFET功率器件
JP5278132B2 (ja) 半導体装置の製造方法
JP4538636B2 (ja) 電界効果トランジスタおよびその製造方法
JP2004259979A (ja) 半導体装置およびその製造方法
JP2005123471A (ja) トランジスタの製造方法