TWI420590B - 積體電路結構與其形成方法 - Google Patents

積體電路結構與其形成方法 Download PDF

Info

Publication number
TWI420590B
TWI420590B TW099109037A TW99109037A TWI420590B TW I420590 B TWI420590 B TW I420590B TW 099109037 A TW099109037 A TW 099109037A TW 99109037 A TW99109037 A TW 99109037A TW I420590 B TWI420590 B TW I420590B
Authority
TW
Taiwan
Prior art keywords
layer
hard mask
semiconductor substrate
forming
integrated circuit
Prior art date
Application number
TW099109037A
Other languages
English (en)
Other versions
TW201041035A (en
Inventor
Hung Pin Chang
Wen Chih Chiou
Chen Hua Yu
Original Assignee
Taiwan Semiconductor Mfg
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Mfg filed Critical Taiwan Semiconductor Mfg
Publication of TW201041035A publication Critical patent/TW201041035A/zh
Application granted granted Critical
Publication of TWI420590B publication Critical patent/TWI420590B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30625With simultaneous mechanical treatment, e.g. mechanico-chemical polishing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/978Semiconductor device manufacturing: process forming tapered edges on substrate or adjacent layers

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Drying Of Semiconductors (AREA)

Description

積體電路結構與其形成方法
本發明係關於半導體元件之製造方法,更特別關於通孔結構及形成其之通孔蝕刻製程。
積體電路之操作速度一般受晶片上相隔最遠且相連的組件之間的距離影響。因為層間的垂直距離遠小於單層的晶片寬度,三維結構之電路設計可明顯減少晶片上組件的連接距離。如此一來,垂直堆疊晶片可增加整體晶片速度。用以形成堆疊的方法之一為晶片接合,係接合含有積體電路於其上的兩個或多個半導體晶圓。上述晶圓的接合方式一般為直接接合其外層氧化層,或施加黏著劑至層間介電層。之後將接合的晶圓堆疊進行切割製程以形成獨立的晶粒堆疊,且每一晶粒堆疊均具有多層的積體電路。晶圓堆疊除了可增加三維電路的速度外,還有其他優點如改善參數、降低成本、並增加晶片系統(SoC)之積體程度。為了將不同組件整合至晶粒堆疊,需在垂直的層狀結構之間形成導體以電性連接組件。
常見於半導體製程之通孔,可提供半導體元件中一或多層之導電材料之間的電性耦合。近來發展之穿透矽通孔(TSV)可克服習知晶圓接合的限制,特別是在需要較佳性能及較高密度等不適於採用習知晶圓接合製程的元件中。TSV可在Z軸方向形成內連線,因此縮短了內連線的長度。藉由自基板正面延伸至基板背面的通孔,可形成穿透晶圓或基板的內連線。TSV亦可應用於晶圓堆疊之內連線、晶粒堆疊、及/或上述之組合。
然而TSV技術仍有部份問題待克服。一般來說,通孔的深寬比過高(基板厚度或通孔深度遠高於通孔直徑)。形成通孔的習知方法可能會形成不良的底切於半導體的層狀結構中,比如形成於介電硬遮罩與矽層之間。部份研究採用虛置有機層於硬遮罩開口的垂直側壁上,保護介電硬遮罩不受後續通孔蝕刻製程的水平蝕刻影響,可消除矽底切輪廓。但上述預防措施將導致與通孔填充製程有關的新問題。舉例來說,虛置有機層可能會在矽邊緣造成矽鳥嘴輪廓,並粗糙化側壁如形成扇貝狀圖案於通孔的側壁頂部。這會降低後續材料於通孔側壁之覆蓋性,不適於沉積晶種層、阻障層、及/或保護層。上述方法亦會減慢通孔填充製程的速度。此外,側壁的扇背狀粗糙度亦會影響TSV的性能。
綜上所述,目前亟需改良的通孔結構及其形成方法,以避免習知技藝的缺點。
本發明提供一種積體電路結構的形成方法,包括提供半導體基板;形成硬遮罩層於半導體基板上;形成光阻層於硬遮罩層上;圖案化光阻層以形成第一開口;圖案化硬遮罩層以形成第二開口於第一開口下,並露出部份半導體基板;蝕刻露出之部份半導體基板以形成通孔,通孔穿過至少部份半導體基板;進行修邊製程以圓滑化通孔之頂角;以及移除光阻層。
本發明更提供一種積體電路結構,包括:半導體基板;硬遮罩層形成於半導體基板上;至少導電層形成於硬遮罩層中;以及通孔自硬遮罩層延伸到至少部份半導體基板,其中通孔具有圓滑角及上寬下窄的側壁。
本發明係關於通孔蝕刻製程,可應用在形成開口於半導體基板中的任何製程。本發明更特別關於通孔結構與形成其之通孔蝕刻製程,可應用於具有垂直內連線的堆疊晶圓/晶粒的穿透矽通孔製程(如穿透矽通孔製程或穿透晶圓通孔製程)。通孔蝕刻製程可實施於生產線前端(FEOL)元件之製程後,與內連線結構之製程前。通孔蝕刻製程亦可實施於生產線前端元件之製程後,與內連線結構之製程後。然而可以理解的是,特定實施例僅用以教示本發明之概念,本技藝人士自可將本發明之教示應用於其他方法或元件。此外可以理解的是,本發明討論之方法及設備包含某些習知結構及/或製程。由於該些結構與製程已熟知於本領域,本發明僅就一般層級進行討論。本發明在圖示中延用相同標號以有利說明,該些重複並非限定圖示中標示的結構或步驟必然相同。此外,說明書中關於第一結構位於二結構上、第一結構鄰接第二結構、或第一結構連接至第一結構等敘述,包含直接接觸,或有其他結構夾設於第一結構與第二結構之間使兩者非直接接觸。形成結構於基板上如蝕刻基板等形成於基板表面上的方法,包括直接形成於基板表面上,及/或延伸至基板表面下(如通孔)。基板可包含半導體晶圓,以及一或多層形成於晶圓上。通孔在本發明之定義為基板上一或多個導電層(如金屬內連線層,或接觸墊如接合墊)的連接、導電層(如金屬內連線層)與半導體層(如矽特徵)之間的連接、及/或其他形成於基板上或連接至基板的元件之間的連接。通孔可填入導電材料、絕緣材料、及/或本領域的其他材料。通孔可形成於基板上,如形成於基板上的一或多層(如介電層、金屬層、半導體層、及/或本領域的其他材料)之中。
第1-6圖係本發明一實施例之通孔蝕刻製程剖視圖,第7圖係第1-6圖之步驟的流程圖。
在步驟200中,提供半導體基板10。第1圖顯示半導體基板10之剖視圖。半導體基板10一般為矽(Si),但亦可為砷化鎵(GaAs)、磷砷化鎵(GaAsP)、磷化銦(InP)、砷化鎵鋁(GaAlAs)、磷化銦鎵(GaInP)、或類似物。對半導體基板10進行製程以形成元件100。舉例來說,元件100係包含閘極、源極、與汲極之電晶體。沉積於基板10上的接觸孔蝕刻停止層12與層間介電層14將作為硬遮罩層15,可用以形成下述之穿透矽通孔。硬遮罩層可包含氧化物如氧化矽、氮化物如氮化矽Si3 N4 、碳化矽、氮氧化矽、及/或其他合適的介電材料。硬遮罩層之形成方法包含化學氣相沉積法(CVD)、物理氣相沉積法(PVD)、原子層沉積法(ALD)、及/或其他製程。在一實施例中,接觸孔蝕刻停止層12為氮化矽層,而層間介電層14係磷掺雜矽酸鹽玻璃(PSG)層。在圖案化接觸孔蝕刻停止層12與層間介電層14形成接觸孔開口後,將接觸結構16形成於接觸孔開口中,以提供電性接觸至元件100。填入接觸孔開口之導電材料可包含多種材料如銅、鎢、鋁、鈦、多晶矽、或類似物。接著將層間介電層14上多餘的導電材料移除,移除方法可為蝕刻法、化學機械研磨法、或類似方法。
接著進行步驟210,旋轉塗佈光阻層18於硬遮罩層15上。之後以曝光、烘烤、顯影、及/或其他微影製程圖案化光阻層18,形成開口18a並露出部份硬遮罩層15。如第2圖所示,接著進行步驟220,以圖案化之光阻層作為遮罩進行濕蝕刻或乾蝕刻製程,蝕刻露出之硬遮罩層15以形成開口15a。在一實施例中,硬遮罩層之開口15a的蝕刻法為反應性離子蝕刻(RIE)。含有開口18a之光阻層18與含有開口15a之硬遮罩層15,將作為後續蝕刻製程之遮罩以形成穿透矽通孔。
如第3圖所示,進行步驟230以蝕刻通孔於半導體基板10中。以含有開口18a之光阻層18與含有開口15a之硬遮罩層15作為遮罩單元進行蝕刻,形成穿透矽通孔20(如開口或孔洞)穿過至少部份的半導體基板10。上述形成穿透矽通孔20之蝕刻步驟包含任何合適蝕刻方法,例如電漿蝕刻、化學濕式蝕刻、雷射鑽孔、及/或其他製程。在一實施例中,蝕刻製程包含深RIE製程以蝕刻半導體基板10。蝕刻製程可由基板正面(如電路端)蝕刻至基板背面(如非電路端)。在一實施例中,通孔深度介於幾十微米至幾百微米。上述蝕刻製程會讓穿透矽通孔20具有垂直或上寬下窄(tapered)的側壁輪廓。
為了避免通孔蝕刻製程形成矽底切22於穿透矽通孔20之頂角,將進行步驟240之修邊製程(trimming process),使通孔角圓滑化及通孔側壁的粗糙度光滑化。如此一來可避免扇貝狀(scallop)圖案形成於通孔側壁。此修邊製程將形成具有上寬下窄之側壁輪廓的穿透基板通孔20”,如第4圖所示。上述修邊製程可為任何合適蝕刻方法如電漿乾蝕刻、化學濕式蝕刻、或其他製程。舉例來說,修邊製程係操作於乾蝕刻元件中,其採用之混合氣體包括下列一或多者:氦氣(He)、氬氣(Ar)、氧氣(O2 )、氫氟為主的氣體、三氟化氮(NF3 )、或六氟化硫(SF6 )。上述製程之壓力介於5至200毫托(mTorr),其射頻偏壓功率介於100瓦至2500瓦之間。在修邊製程完成後,將進行步驟250如灰化光阻層。此步驟將光阻層18自半導體基板10剝除,保留具有光滑角24之穿透基板通孔20”,如第5圖所示。接著可視情況進一步清除任何位於半導體基板10上方的殘留物,清除方式係採用酸性或鹼性溶液之濕式清潔製程。
在通孔蝕刻製程後進行上述的底切修邊製程,可消除矽底切輪廓、矽鳥嘴結構、以及側壁的扇貝圖案。上述修邊製程還可光滑化通孔側壁與圓滑化通孔頂角,可改善後續沉積製程在通孔側壁之覆蓋性。後續沉積製程包含形成晶種層、阻障層、及/或保護層。上述製程係有利於通孔填充製程,並提高穿透基板通孔20”的性能。
如第6圖所示,接著進行步驟260塗佈及/或填充絕緣層26及/或導電材料28,於上述具有上寬下窄之側壁輪廓與光滑頂角的穿透基板通孔20”中。絕緣層26可包含氧化矽(SiO2 )、氮化矽(Si3 N4 )、及/或其他合適之介電材料。絕緣材料使形成於通孔中的導電材料與一或多種結構電性絕緣。絕緣層之形成方法可為成長法如熱氧化法,或沉積法如CVD。接著將導電材料28填入通孔,以提供電性耦合至一或多個內連線,而該些內連線又再耦合至一或多個位於基板上的元件結構。在一實施例中,可先形成晶種層如銅。在一實施例中,可形成一或多層黏著促進層。在一實施例中,可形成擴散阻障層如氮化鉭於穿透矽通孔20中。上述通孔可填入導電材料如銅、鋁、鎢、鉭、鈦、鎳、鈷、一或多種金屬矽化物、一或多種金屬氮化物、多晶矽、及/或其他合適材料。在一實施例中,將導電材料填入通孔的方法為電鍍製程。在其他實施例中,將導電材料填入通孔的方法包括濺鍍、PVD、CVD、及/或其他沉積製程。在上述製程後,可繼續進行其他製程如化學機械研磨、晶圓薄化、形成內連線之進一步金屬化製程、及/或其他製程。
第8-13圖係本發明另一實施例之通孔蝕刻製程剖視圖,其流程亦依據第7圖。在後續說明中,將省略與第1-6圖重疊之部份。
在步驟200中,首先提供具有生產線前端(FEOL)結構與生產線後端(BEOL)結構之基板。如第8圖所示,半導體基板10含有內連線結構30(如內連線層),其包含複數個金屬層、複數個接觸通孔以耦合金屬層、以及金屬間介電層32分隔該些金屬物。半導體基板10更包含一或多個生產線前端結構如閘極結構、源極/汲極區、其他掺雜區、絕緣結構、閘極/源極/汲極之接觸物、記憶單元(如記憶晶胞)、及/或其他結構。一般來說,上述生產線前端結構係形成於半導體基板之正面。一或多個生產線前端結構將電性耦合至內連線結構30。內連線結構30可稱為生產線後端結構。可以理解的是,「前端」、「後端」、基板正面、與基板背面等相對用詞為任意選定的,其他適合的現有用詞可用以取代上述用詞。
內連線結構30包含四層金屬層,但金屬層的層數可為任意數目。在內連線結構30中,金屬層與通孔可包含導電材料如銅、鋁、鎢、鉭、鈦、鎳、鈷、一或多種金屬矽化物、一或多種金屬氮化物、多晶矽、金、銀、及/或其他導電材料,且可包含一或多層的抗腐蝕耐火層/襯墊。金屬層及/或通孔的形成方法可為CVD、PVD、ALD、電鍍、及/或其他製程。
金屬間介電層32與其下之介電層12及14組成硬遮罩層15”,係用以形成穿透矽通孔如下述。金屬間介電層32包含低介電常數。金屬間介電層32可減少內連線結構中的金屬線(如內連線路)之間的電容式耦合。金屬間介電層32可分隔金屬層。適於作為金屬間介電層32的其他材料包括四乙氧矽烷形成之氧化物、未掺雜之矽玻璃、掺雜之矽包璃如硼磷掺雜矽酸鹽玻璃(BPSG)、氟掺雜矽酸鹽玻璃(FSG)、磷掺雜矽酸鹽玻璃(PSG)、硼掺雜矽酸鹽玻璃(BSG)、SiLKTM (購自美國密西根州之密德蘭的Dow Chemical)、Black diamond(購自美國加州的Santa Clara公司)、或其他本技藝所知之絕緣材料。金屬間介電層32之形成方法包括CVD、ALD、PVD、旋轉塗佈法、及/或其他製程。
接著進行步驟210,將光阻層18旋轉塗佈於硬遮罩層15”上。接著進行曝光、烘烤、顯影、及/或其他微影製程圖案化光阻層18,形成開口18a於光阻層18中並露出部份硬遮罩層15”。如第9圖所示進行步驟220,以圖案化光阻層18作為遮罩,進行濕蝕刻或乾蝕刻製程以蝕刻露出之硬遮罩層15”,形成開口15”a。具有開口18a之光阻層18與具有開口15”a之硬遮罩層15”,可作為後續形成穿透矽通孔製程之蝕刻遮罩。
如第10圖所示,進行步驟230以蝕刻通孔於半導體基板10中。以硬遮罩層15”與光阻層18作為遮罩單元進行蝕刻,形成穿透矽通孔20(如開口或孔洞)穿過至少部份的半導體基板10。上述形成穿透矽通孔20之蝕刻步驟包含任何合適蝕刻方法,例如電漿蝕刻、化學濕式蝕刻、雷射鑽孔、及/或其他製程。在一實施例中,蝕刻製程包含深RIE製程以蝕刻半導體基板10。蝕刻製程可由基板正面(如電路端)蝕刻至基板背面(如非電路端)。在一實施例中,通孔深度介於幾十微米至幾百微米。上述蝕刻製程會讓穿透矽通孔20具有垂直或上寬下窄(tapered)的側壁輪廓。
為了避免通孔蝕刻製程形成矽底切22於穿透矽通孔20之頂角,將進行步驟240之修邊製程(trimming process),使通孔角圓滑化及通孔側壁的粗糙度光滑化,如第11圖所示。如此一來可避免扇貝狀(scallop)圖案形成於通孔側壁。此修邊製程將形成具有上寬下窄之側壁輪廓的穿透基板通孔20”。上述修邊製程可為任何合適蝕刻方法如電漿乾蝕刻、化學濕式蝕刻、或其他製程。舉例來說,修邊製程係操作於乾蝕刻元件中,其採用之混合氣體包括下列一或多者:氦氣(He)、氬氣(Ar)、氧氣(O2 )、氫氟為主的氣體、三氟化氮(NF3 )、或六氟化硫(SF6 )。上述製程之壓力介於5至200毫托(mTorr),其射頻偏壓功率介於100瓦至2500瓦之間。在修邊製程完成後,將進行步驟250如灰化光阻層。此步驟將光阻層18自半導體基板10剝除,保留具有光滑角24之穿透基板通孔20”,如第12圖所示。接著可視情況進一步清除任何位於半導體基板10上方的殘留物,清除方式係採用酸性或鹼性溶液之濕式清潔製程。
在通孔蝕刻製程後進行上述的底切修邊製程,可消除矽底切輪廓、矽鳥嘴結構、以及側壁的扇貝圖案。上述修邊製程還可光滑化通孔側壁與圓滑化通孔頂角,可改善後續沉積製程在通孔側壁之覆蓋性。後續沉積製程包含形成晶種層、阻障層、及/或保護層。上述製程係有利於通孔填充製程,並提高穿透基板通孔20”的性能。
如第13圖所示,接著進行步驟260塗佈及/或填充絕緣層26及/或導電材料28,於上述具有上寬下窄之側壁輪廓與光滑頂角的穿透基板通孔20”中。在上述製程後,可繼續進行其他製程如化學機械研磨、晶圓薄化、形成內連線之進一步金屬化製程、及/或其他製程。
雖然本發明已以數個較佳實施例揭露如上,然其並非用以限定本發明,任何熟習此技藝者,在不脫離本發明之精神和範圍內,當可作任意之更動與潤飾,因此本發明之保護範圍當視後附之申請專利範圍所界定者為準。
10...半導體基板
12...接觸孔蝕刻停止層
14...層間介電層
15、15”...硬遮罩層
15a、15”a、18a...開口
18...光阻層
20...穿透矽通孔
20”...穿透基板通孔
22...底切
24...光滑角
26...絕緣層
28...導電材料
30...內連線結構
32...金屬間介電層
100...元件
200、210、220、230、240、250、260...步驟
第1-6圖係本發明一實施例之通孔蝕刻製程剖視圖;
第7圖係本發明一實施例之通孔蝕刻製程流程圖;以及
第8-13圖係本發明另一實施例之通孔蝕刻製程剖視圖。
10...半導體基板
12...接觸孔蝕刻停止層
14...層間介電層
26...絕緣層
28...導電材料

Claims (13)

  1. 一種積體電路結構的形成方法,包括:提供一半導體基板;形成一硬遮罩層於該半導體基板上;形成一光阻層於該硬遮罩層上;圖案化該光阻層以形成一第一開口;圖案化該硬遮罩層以形成一第二開口於該第一開口下,並露出部份該半導體基板;蝕刻露出之部份該半導體基板以形成一通孔,該通孔穿過至少部份該半導體基板;進行一修邊製程以圓滑化該通孔之頂角;以及移除該光阻層。
  2. 如申請專利範圍第1項所述之積體電路結構通孔的形成方法,更包括:在形成該硬遮罩層於該半導體基板上之步驟前,形成一元件於該半導體基板上;以及在形成該硬遮罩層於該半導體基板上之步驟後,形成一接觸結構於該硬遮罩層上;其中該接觸結構電性電接至該元件。
  3. 如申請專利範圍第1項所述之積體電路結構的形成方法,其中該硬遮罩層包括一蝕刻停止層與一介電層。
  4. 如申請專利範圍第1項所述之積體電路結構的形成方法,其中該修邊製程降低該通孔側壁之粗糙度。
  5. 如申請專利範圍第1項所述之積體電路結構的形成方法,其中該修邊製程使該通孔具有一上寬下窄之側壁輪廓。
  6. 如申請專利範圍第1項所述之積體電路結構的形成方法,其中該修邊製程採用一混合氣體,且該混合氣體包括下列一或多者:氦氣、氬氣、氧氣、氫氟為主的氣體、三氟化氮、或六氟化硫。
  7. 如申請專利範圍第1項所述之積體電路結構的形成方法,更包括在移除該光阻層後,形成一導電層於該通孔中。
  8. 一種積體電路結構,包括:一半導體基板;一硬遮罩層形成於該半導體基板上;至少一導電層形成於該硬遮罩層中;以及一通孔自該硬遮罩層延伸到至少部份該半導體基板,其中該通孔具有一圓滑角及上寬下窄的側壁。
  9. 如申請專利範圍第8項所述之積體電路結構,其中該硬遮罩層包括:一蝕刻停止層形成於該半導體基板上;以及一第一介電層形成於該蝕刻停止層上;其中形成於該硬遮罩層中的導電層係一接觸結構。
  10. 如申請專利範圍第8項所述之積體電路結構,其中該硬遮罩層包括:一蝕刻停止層形成於該半導體基板上;一第一介電層形成於該蝕刻停止層上;以及一第二介電層形成於該第一介電層上;其中形成於該硬遮罩層中之該導電層係一內連線結構。
  11. 如申請專利範圍第8項所述之積體電路結構,其中該通孔填有一導電材料。
  12. 如申請專利範圍第8項所述之積體電路結構,其中該通孔填有銅。
  13. 如申請專利範圍第8項所述之積體電路結構,其中該蝕刻停止層包括氮化矽。
TW099109037A 2009-03-27 2010-03-26 積體電路結構與其形成方法 TWI420590B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16406909P 2009-03-27 2009-03-27
US12/722,949 US8329578B2 (en) 2009-03-27 2010-03-12 Via structure and via etching process of forming the same

Publications (2)

Publication Number Publication Date
TW201041035A TW201041035A (en) 2010-11-16
TWI420590B true TWI420590B (zh) 2013-12-21

Family

ID=42783101

Family Applications (1)

Application Number Title Priority Date Filing Date
TW099109037A TWI420590B (zh) 2009-03-27 2010-03-26 積體電路結構與其形成方法

Country Status (4)

Country Link
US (2) US8329578B2 (zh)
JP (1) JP5562087B2 (zh)
KR (1) KR101137624B1 (zh)
TW (1) TWI420590B (zh)

Families Citing this family (54)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8642441B1 (en) 2006-12-15 2014-02-04 Spansion Llc Self-aligned STI with single poly for manufacturing a flash memory device
US8634231B2 (en) 2009-08-24 2014-01-21 Qualcomm Incorporated Magnetic tunnel junction structure
US8202766B2 (en) * 2009-06-19 2012-06-19 United Microelectronics Corp. Method for fabricating through-silicon via structure
US8580682B2 (en) 2010-09-30 2013-11-12 Taiwan Semiconductor Manufacturing Company, Ltd. Cost-effective TSV formation
US9190325B2 (en) 2010-09-30 2015-11-17 Taiwan Semiconductor Manufacturing Company, Ltd. TSV formation
FR2969817B1 (fr) * 2010-12-23 2013-09-27 St Microelectronics Crolles 2 Réalisation de vias dans un circuit intégré
US8716128B2 (en) 2011-04-14 2014-05-06 Tsmc Solid State Lighting Ltd. Methods of forming through silicon via openings
US8753981B2 (en) 2011-04-22 2014-06-17 Micron Technology, Inc. Microelectronic devices with through-silicon vias and associated methods of manufacturing
US8481425B2 (en) 2011-05-16 2013-07-09 United Microelectronics Corp. Method for fabricating through-silicon via structure
US8487425B2 (en) 2011-06-23 2013-07-16 International Business Machines Corporation Optimized annular copper TSV
US8394718B1 (en) 2011-09-12 2013-03-12 International Business Machines Corporation Methods of forming self-aligned through silicon via
US8518823B2 (en) 2011-12-23 2013-08-27 United Microelectronics Corp. Through silicon via and method of forming the same
US8609529B2 (en) 2012-02-01 2013-12-17 United Microelectronics Corp. Fabrication method and structure of through silicon via
US8963316B2 (en) 2012-02-15 2015-02-24 Advanced Semiconductor Engineering, Inc. Semiconductor device and method for manufacturing the same
US8691600B2 (en) 2012-05-02 2014-04-08 United Microelectronics Corp. Method for testing through-silicon-via (TSV) structures
US8691688B2 (en) 2012-06-18 2014-04-08 United Microelectronics Corp. Method of manufacturing semiconductor structure
US9275933B2 (en) 2012-06-19 2016-03-01 United Microelectronics Corp. Semiconductor device
US8900996B2 (en) 2012-06-21 2014-12-02 United Microelectronics Corp. Through silicon via structure and method of fabricating the same
US8525296B1 (en) 2012-06-26 2013-09-03 United Microelectronics Corp. Capacitor structure and method of forming the same
US8912844B2 (en) 2012-10-09 2014-12-16 United Microelectronics Corp. Semiconductor structure and method for reducing noise therein
US9159699B2 (en) * 2012-11-13 2015-10-13 Delta Electronics, Inc. Interconnection structure having a via structure
US9035457B2 (en) 2012-11-29 2015-05-19 United Microelectronics Corp. Substrate with integrated passive devices and method of manufacturing the same
US8716104B1 (en) 2012-12-20 2014-05-06 United Microelectronics Corp. Method of fabricating isolation structure
KR102018885B1 (ko) * 2012-12-20 2019-09-05 삼성전자주식회사 관통전극을 갖는 반도체 소자 및 그 제조방법
US9455188B2 (en) * 2013-01-18 2016-09-27 Globalfoundries Inc. Through silicon via device having low stress, thin film gaps and methods for forming the same
US9245790B2 (en) * 2013-01-23 2016-01-26 GlobalFoundries, Inc. Integrated circuits and methods of forming the same with multiple embedded interconnect connection to same through-semiconductor via
US9130022B2 (en) 2013-03-15 2015-09-08 Taiwan Semiconductor Manufacturing Co., Ltd. Method of back-end-of-line (BEOL) fabrication, and devices formed by the method
US8884398B2 (en) 2013-04-01 2014-11-11 United Microelectronics Corp. Anti-fuse structure and programming method thereof
US9287173B2 (en) 2013-05-23 2016-03-15 United Microelectronics Corp. Through silicon via and process thereof
US9123730B2 (en) 2013-07-11 2015-09-01 United Microelectronics Corp. Semiconductor device having through silicon trench shielding structure surrounding RF circuit
US9024416B2 (en) 2013-08-12 2015-05-05 United Microelectronics Corp. Semiconductor structure
US8916471B1 (en) 2013-08-26 2014-12-23 United Microelectronics Corp. Method for forming semiconductor structure having through silicon via for signal and shielding structure
US9847315B2 (en) * 2013-08-30 2017-12-19 Taiwan Semiconductor Manufacturing Company, Ltd. Packages, packaging methods, and packaged semiconductor devices
US9048223B2 (en) 2013-09-03 2015-06-02 United Microelectronics Corp. Package structure having silicon through vias connected to ground potential
US9117804B2 (en) 2013-09-13 2015-08-25 United Microelectronics Corporation Interposer structure and manufacturing method thereof
US9343359B2 (en) 2013-12-25 2016-05-17 United Microelectronics Corp. Integrated structure and method for fabricating the same
US9659851B2 (en) * 2014-02-07 2017-05-23 Marvell World Trade Ltd. Method and apparatus for improving the reliability of a connection to a via in a substrate
US10340203B2 (en) 2014-02-07 2019-07-02 United Microelectronics Corp. Semiconductor structure with through silicon via and method for fabricating and testing the same
US9312354B2 (en) 2014-02-21 2016-04-12 Taiwan Semiconductor Manufacturing Company, Ltd. Contact etch stop layers of a field effect transistor
US11532560B2 (en) * 2014-11-03 2022-12-20 Texas Instruments Incorporated Method of fabricating a tungsten plug in a semiconductor device
CN105990310B (zh) * 2015-01-30 2019-04-19 联华电子股份有限公司 半导体结构及其制造方法
US9401323B1 (en) * 2015-04-03 2016-07-26 International Business Machines Corporation Protected through semiconductor via (TSV)
KR20160120891A (ko) 2015-04-09 2016-10-19 삼성전자주식회사 반도체 장치
JP6479578B2 (ja) * 2015-05-29 2019-03-06 東芝メモリ株式会社 半導体装置の製造方法および半導体装置
KR102379165B1 (ko) 2015-08-17 2022-03-25 삼성전자주식회사 Tsv 구조를 구비한 집적회로 소자 및 그 제조 방법
KR102497205B1 (ko) 2016-03-03 2023-02-09 삼성전자주식회사 관통전극을 갖는 반도체 소자 및 그 제조방법
TWI621236B (zh) * 2016-07-12 2018-04-11 蔡佳勳 半導體結構與其製造方法
KR101868596B1 (ko) * 2016-10-10 2018-06-19 (주)아인스 비아 홀 형성 방법 및 이를 포함하는 비아 콘택 제조 방법
KR101873834B1 (ko) * 2016-10-10 2018-07-11 (주)아인스 비아 홀 형성 방법 및 이를 포함하는 비아 콘택 제조 방법
WO2020131989A1 (en) * 2018-12-21 2020-06-25 Mattson Technology, Inc. Surface smoothing of workpieces
CN110491831B (zh) * 2019-07-26 2022-06-14 福建省福联集成电路有限公司 一种制作通孔的方法及制得的器件
US11177137B2 (en) * 2020-01-17 2021-11-16 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer etching process and methods thereof
CN111312688A (zh) * 2020-02-28 2020-06-19 西安微电子技术研究所 一种芯片tsv通孔刻蚀结构及其制备方法
US11935749B2 (en) * 2022-06-16 2024-03-19 Nanya Technology Corporation Method of manufacturing semiconductor structure

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW375797B (en) * 1998-05-19 1999-12-01 Macronix Internaitonal Co Ltd Process for forming rounded corner on shallow trench isolation by means of shrunken mask
TW200408002A (en) * 2002-11-07 2004-05-16 Hynix Semiconductor Inc Method of forming an isolation film in a semiconductor device
TW200601460A (en) * 2004-06-25 2006-01-01 Promos Technologies Inc Method of manufacturing a semiconductor device
TW200735264A (en) * 2005-06-28 2007-09-16 Micron Technology Inc Semiconductor processing methods, and semiconductor constructions
US7335972B2 (en) * 2003-11-13 2008-02-26 Sandia Corporation Heterogeneously integrated microsystem-on-a-chip

Family Cites Families (48)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4363830A (en) * 1981-06-22 1982-12-14 Rca Corporation Method of forming tapered contact holes for integrated circuit devices
US5229647A (en) * 1991-03-27 1993-07-20 Micron Technology, Inc. High density data storage using stacked wafers
JPH05211239A (ja) 1991-09-12 1993-08-20 Texas Instr Inc <Ti> 集積回路相互接続構造とそれを形成する方法
DE4314907C1 (de) 1993-05-05 1994-08-25 Siemens Ag Verfahren zur Herstellung von vertikal miteinander elektrisch leitend kontaktierten Halbleiterbauelementen
US5391917A (en) 1993-05-10 1995-02-21 International Business Machines Corporation Multiprocessor module packaging
EP2270845A3 (en) 1996-10-29 2013-04-03 Invensas Corporation Integrated circuits and methods for their fabrication
US6882030B2 (en) 1996-10-29 2005-04-19 Tru-Si Technologies, Inc. Integrated circuit structures with a conductor formed in a through hole in a semiconductor substrate and protruding from a surface of the substrate
US6037822A (en) 1997-09-30 2000-03-14 Intel Corporation Method and apparatus for distributing a clock on the silicon backside of an integrated circuit
US5998292A (en) 1997-11-12 1999-12-07 International Business Machines Corporation Method for making three dimensional circuit integration
EP0926723B1 (en) * 1997-11-26 2007-01-17 STMicroelectronics S.r.l. Process for forming front-back through contacts in micro-integrated electronic devices
JP3532788B2 (ja) 1999-04-13 2004-05-31 唯知 須賀 半導体装置及びその製造方法
JP3539483B2 (ja) * 1999-09-28 2004-07-07 シャープ株式会社 半導体装置の製造方法
US6322903B1 (en) 1999-12-06 2001-11-27 Tru-Si Technologies, Inc. Package of integrated circuits and vertical integration
US6444576B1 (en) 2000-06-16 2002-09-03 Chartered Semiconductor Manufacturing, Ltd. Three dimensional IC package module
US6599778B2 (en) 2001-12-19 2003-07-29 International Business Machines Corporation Chip and wafer integration process using vertical connections
WO2003063242A1 (en) 2002-01-16 2003-07-31 Alfred E. Mann Foundation For Scientific Research Space-saving packaging of electronic circuits
US6762076B2 (en) 2002-02-20 2004-07-13 Intel Corporation Process of vertically stacking multiple wafers supporting different active integrated circuit (IC) devices
US6800930B2 (en) 2002-07-31 2004-10-05 Micron Technology, Inc. Semiconductor dice having back side redistribution layer accessed using through-silicon vias, and assemblies
US6903442B2 (en) * 2002-08-29 2005-06-07 Micron Technology, Inc. Semiconductor component having backside pin contacts
US7030481B2 (en) 2002-12-09 2006-04-18 Internation Business Machines Corporation High density chip carrier with integrated passive devices
JP4417072B2 (ja) * 2003-03-28 2010-02-17 シャープ株式会社 液晶表示装置用基板及びそれを用いた液晶表示装置
US6841883B1 (en) 2003-03-31 2005-01-11 Micron Technology, Inc. Multi-dice chip scale semiconductor components and wafer level methods of fabrication
US6897148B2 (en) * 2003-04-09 2005-05-24 Tru-Si Technologies, Inc. Electroplating and electroless plating of conductive materials into openings, and structures obtained thereby
US6924551B2 (en) 2003-05-28 2005-08-02 Intel Corporation Through silicon via, folded flex microelectronic package
US7111149B2 (en) 2003-07-07 2006-09-19 Intel Corporation Method and apparatus for generating a device ID for stacked devices
TWI251313B (en) 2003-09-26 2006-03-11 Seiko Epson Corp Intermediate chip module, semiconductor device, circuit board, and electronic device
US7122903B2 (en) * 2003-10-21 2006-10-17 Sharp Kabushiki Kaisha Contact plug processing and a contact plug
JP4340517B2 (ja) * 2003-10-30 2009-10-07 Okiセミコンダクタ株式会社 半導体装置及びその製造方法
US7049170B2 (en) 2003-12-17 2006-05-23 Tru-Si Technologies, Inc. Integrated circuits and packaging substrates with cavities, and attachment methods including insertion of protruding contact pads into cavities
US7060601B2 (en) 2003-12-17 2006-06-13 Tru-Si Technologies, Inc. Packaging substrates for integrated circuits and soldering methods
JP4467318B2 (ja) 2004-01-28 2010-05-26 Necエレクトロニクス株式会社 半導体装置、マルチチップ半導体装置用チップのアライメント方法およびマルチチップ半導体装置用チップの製造方法
US6888253B1 (en) * 2004-03-11 2005-05-03 Northrop Grumman Corporation Inexpensive wafer level MMIC chip packaging
DE102004042169B4 (de) * 2004-08-31 2009-08-20 Advanced Micro Devices, Inc., Sunnyvale Technik zur Erhöhung des Füllvermögens in einem elektrochemischen Abscheideprozess durch Verrundung der Kanten und Gräben
US7262495B2 (en) 2004-10-07 2007-08-28 Hewlett-Packard Development Company, L.P. 3D interconnect with protruding contacts
KR100672155B1 (ko) * 2005-05-30 2007-01-19 주식회사 하이닉스반도체 반도체 소자의 소자분리막 형성방법
US7297574B2 (en) 2005-06-17 2007-11-20 Infineon Technologies Ag Multi-chip device and method for producing a multi-chip device
US7429529B2 (en) * 2005-08-05 2008-09-30 Farnworth Warren M Methods of forming through-wafer interconnects and structures resulting therefrom
US7772115B2 (en) * 2005-09-01 2010-08-10 Micron Technology, Inc. Methods for forming through-wafer interconnects, intermediate structures so formed, and devices and systems having at least one solder dam structure
US7393779B2 (en) * 2005-10-31 2008-07-01 International Business Machines Corporation Shrinking contact apertures through LPD oxide
KR20070064763A (ko) * 2005-12-19 2007-06-22 삼성전자주식회사 반도체 소자의 형성 방법
TWI287273B (en) * 2006-01-25 2007-09-21 Advanced Semiconductor Eng Three dimensional package and method of making the same
JP2007266519A (ja) * 2006-03-30 2007-10-11 Oki Electric Ind Co Ltd 半導体素子の製造方法
US7351664B2 (en) 2006-05-30 2008-04-01 Lam Research Corporation Methods for minimizing mask undercuts and notches for plasma processing system
US7973413B2 (en) * 2007-08-24 2011-07-05 Taiwan Semiconductor Manufacturing Company, Ltd. Through-substrate via for semiconductor device
US8183160B2 (en) * 2007-10-09 2012-05-22 Freescale Semiconductor, Inc. Method for manufacturing a semiconductor device and semiconductor device obtainable with such a method
US8035198B2 (en) * 2008-08-08 2011-10-11 International Business Machines Corporation Through wafer via and method of making same
US8610259B2 (en) * 2010-09-17 2013-12-17 Tessera, Inc. Multi-function and shielded 3D interconnects
US8637968B2 (en) * 2010-12-02 2014-01-28 Tessera, Inc. Stacked microelectronic assembly having interposer connecting active chips

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW375797B (en) * 1998-05-19 1999-12-01 Macronix Internaitonal Co Ltd Process for forming rounded corner on shallow trench isolation by means of shrunken mask
TW200408002A (en) * 2002-11-07 2004-05-16 Hynix Semiconductor Inc Method of forming an isolation film in a semiconductor device
US7335972B2 (en) * 2003-11-13 2008-02-26 Sandia Corporation Heterogeneously integrated microsystem-on-a-chip
TW200601460A (en) * 2004-06-25 2006-01-01 Promos Technologies Inc Method of manufacturing a semiconductor device
TW200735264A (en) * 2005-06-28 2007-09-16 Micron Technology Inc Semiconductor processing methods, and semiconductor constructions

Also Published As

Publication number Publication date
US20100244247A1 (en) 2010-09-30
JP5562087B2 (ja) 2014-07-30
JP2010232661A (ja) 2010-10-14
TW201041035A (en) 2010-11-16
US20130062767A1 (en) 2013-03-14
KR20100108293A (ko) 2010-10-06
US8329578B2 (en) 2012-12-11
US8896127B2 (en) 2014-11-25
KR101137624B1 (ko) 2012-04-24

Similar Documents

Publication Publication Date Title
TWI420590B (zh) 積體電路結構與其形成方法
US7786584B2 (en) Through substrate via semiconductor components
US9087878B2 (en) Device with through-silicon via (TSV) and method of forming the same
TWI427739B (zh) 矽穿孔的形成方法
US8501587B2 (en) Stacked integrated chips and methods of fabrication thereof
CN101847597B (zh) 集成电路结构
JP2002026122A (ja) 半導体装置の製造方法
TW201342525A (zh) 具有矽穿孔之雙重鑲嵌結構及其製造方法
WO2013023456A1 (zh) 同时制备垂直导通孔和第一层再布线层的方法
JP2008010534A (ja) 半導体装置およびその製造方法
TW202230605A (zh) 具有基底穿孔的積體晶片及其形成方法
US11508619B2 (en) Electrical connection structure and method of forming the same
TWI705527B (zh) 形成積體電路結構之方法、積體電路裝置、和積體電路結構
US10453794B2 (en) Interconnect structure for semiconductor devices
JP4587604B2 (ja) 半導体装置の製造方法
TW202245193A (zh) 半導體結構及其製造方法
CN111834313B (zh) 一种有源芯片高密度tsv结构及制作方法
US6599825B2 (en) Method for forming wiring in semiconductor device
KR101106049B1 (ko) 반도체 소자의 제조방법 및 이에 의한 반도체 소자
TWI531027B (zh) 穿矽導通體之製法及結構
CN114121779A (zh) 制备双大马士革结构的方法
CN115662948A (zh) 贯穿孔结构的制作方法、半导体结构及封装结构
CN115084100A (zh) 一种半导体集成电路结构及其制造方法、划片道结构
TW202023012A (zh) 電子元件及其製造方法
CN111261602A (zh) 半导体结构的互连方法与半导体结构