KR101137624B1 - 비아 구조 및 그것을 형성하는 비아에칭 방법 - Google Patents

비아 구조 및 그것을 형성하는 비아에칭 방법 Download PDF

Info

Publication number
KR101137624B1
KR101137624B1 KR1020100027470A KR20100027470A KR101137624B1 KR 101137624 B1 KR101137624 B1 KR 101137624B1 KR 1020100027470 A KR1020100027470 A KR 1020100027470A KR 20100027470 A KR20100027470 A KR 20100027470A KR 101137624 B1 KR101137624 B1 KR 101137624B1
Authority
KR
South Korea
Prior art keywords
semiconductor substrate
hard mask
mask layer
vias
layer
Prior art date
Application number
KR1020100027470A
Other languages
English (en)
Other versions
KR20100108293A (ko
Inventor
헝-핀 창
웬-치 츄
첸-화 유
Original Assignee
타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 filed Critical 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Publication of KR20100108293A publication Critical patent/KR20100108293A/ko
Application granted granted Critical
Publication of KR101137624B1 publication Critical patent/KR101137624B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30625With simultaneous mechanical treatment, e.g. mechanico-chemical polishing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/978Semiconductor device manufacturing: process forming tapered edges on substrate or adjacent layers

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

비아에칭 방법은 라운드된 모서리와 테이퍼된 측벽 프로파일을 가지는 기판 관통 비아를 형성한다. 한 방법은 반도체 기판을 제공하는 단계; 반도체 기판상에 하드 마스크층과 패터닝된 포토레지스트층을 형성하는 단계; 하드 마스크층 내에 개구를 형성하고 반도체 기판의 일부를 노출하는 단계; 패터닝된 포토레지스트층과 하드 마스크층을 에칭마스크로 사용하여 반도체 기판의 적어도 일부를 관통하는 비아를 형성하는 단계; 트리밍 공정을 수행하여 비아의 상단 모서리를 라운딩하는 단계; 및 포토레지트층을 제거하는 단계를 포함한다.
[색인어]
비아, 에칭, 트리밍, 모서리, 라운딩, 테이퍼

Description

비아 구조 및 그것을 형성하는 비아에칭 방법{via structure and via etching process of forming the same}
본 발명은 반도체 소자들의 제조에 관한 것으로, 보다 상세하게는 비아 구조(via structure) 및 그것을 형성하는 비아에칭 방법에 관한 것이다.
일반적으로, 집적회로가 작동하는 속도는 칩 상에서 가장 멀리 떨어져 서로 통신하는 구성요소들 사이의 거리에 영향을 받는다. 회로들을 삼차원 구조로 배치하는 것은 층들 사이의 수직 거리가 개개의 층들의 칩 폭 보다 훨씬 더 작을 경우 온칩(on-chip) 구성요소들 사이의 통신경로 길이를 상당히 감소시키는 것으로 알려져 있다. 그러므로, 전체 칩 속도는 일반적으로 회로층들을 수직으로 적층시키는 것에 의해 증가된다. 이러한 적층을 구현하기 위해 사용되어온 한 방법은 웨이퍼 본딩을 통한 것이다. 웨이퍼 본딩은 집적회로가 형성된 두 개 이상의 반도체 웨이퍼를 함께 결합하는 것이다. 웨이퍼들은 일반적으로 외부 산화층들의 직접본딩 또는 접착제를 인터-레벨 유전체(inter-level dielectric; ILD) 층들에 부가하는 것에 의해 결합된다. 본딩 결과, 후에 각각 복수 개의 집적회로 층을 갖는 개별 '적층 다이(stacked die)'들로 절단되는 삼차원 웨이퍼 적층체가 형성된다. 삼차원 회로에 의해 얻어지는 속도증가에 추가하여, 웨이퍼 적층은 시스템 온 칩 솔루션(system on chip solutuios)을 통한 집적도 증가, 코스트 절감, 폼 팩터(form factor) 개선을 포함하는 다른 잠재적인 이득을 제공한다. 개개의 적층 다이 내에 집적된 여러가지 구성요소들을 동작시킬 수 있도록 하기 위해, 수직층들 사이에 전도체들을 제공하는 전기적인 연결부들이 마련된다.
반도체 제조시 반도체 소자 내의 한 개 이상의 도전재료 층들 사이의 전기적인 접속을 제공하기 위해 통상적으로 비아들(vias)이 사용되어 왔다. 최근에는 종래의 와이어 본딩이 성능 및 밀도조건의 증가 요구에 부합하지 않기 때문에 종래의 와이어 본딩의 제한을 극복하는 방법으로 실리콘-관통 비아들(through-silicon vias; TSVs)이 개발되고 있다. TSV는 z 축 방향으로 상호연결부를 형성하는 것에 의해 더 짧은 상호연결부들을 형성할 수 있게 한다. 상호연결부는 기판(예를들면, 웨이퍼)의 전면에서 후면까지 연장되는 비아를 형성하는 것에 의해 기판을 통해 형성된다. 또한, TSV는 적층 웨이퍼들, 적층 다이들, 및/또는 그 조합들을 위한 상호연결부들을 형성하는데 유용하다.
하지만, TSV 기술을 사용하는 것은 문제를 발생시킨다. 비아의 종횡비(aspect ratio)가 커질 수 있다(예를들면, 기판의 두께 또는 비아의 깊이는 비아의 직경에 비하여 크다). 비아를 형성하는 종래의 방법은 기판의 층들 내에 바람직하지 못한 언더컷(예를들면, 유전체 하드 마스크와 실리콘 사이의 언더컷들)을 발생시킬 수 있다. 실리콘 언더컷 프로파일(profile)을 제거하기 위한 한 방법은 후속 비아에칭 프로세스시 유전체 하드 마스크를 측방향 에칭으로부터 보호하기 위해 하드 마스크 개구의 수직면에 희생 폴리머를 형성한다. 이러한 사전 처리는 비아충진 프로세스와 관련된 새로운 문제를 발생시킨다. 예를들면, 문제는 시드층, 배리어층 및/또는 패시베이션층 증착 프로세스시 불량 형성 및 불량한 측벽 커버리지를 야기하고 비아충진 프로세스를 가속화하는데 장애가 되는 실리콘 가장자리에 존재하는 실리콘 새 부리(bird's beak) 프로파일과 불균일 측벽(예를들면, 비아 측벽의 상단 상의 스캘럽 패턴(scalloping pattern))을 포함한다. 또한, 측벽의 스캘럽 표면조도는 TSV의 전기적인 성능에 영향을 미친다.
따라서, 종래의 프로세스의 단점을 피할 수 있는 비아 및 그 제조방법이 요구된다.
본 발명의 목적은 상술한 바와 같은 종래의 문제점을 해소할 수 있는 개선된 비아 구조 및 그것을 형성하는 비아에칭 방법을 제공하는 데 있다.
본 발명에 따른 비아에칭 방법은 라운드된 모서리와 테이퍼된 측벽 프로파일을 가지는 기판-관통 비아를 형성한다. 한 방법은 반도체 기판을 제공하는 단계; 반도체 기판상에 하드 마스크층과 패터닝된 포토레지스트층을 형성하는 단계; 하드 마스크층 내에 개구를 형성하고 반도체 기판의 일부를 노출하는 단계; 패터닝된 포토레지스트층과 하드 마스크층을 에칭마스크로 사용하여 반도체 기판의 적어도 일부를 관통하는 비아를 형성하는 단계; 트리밍(trimming) 공정을 수행하여 비아의 상단 모서리를 라운딩하는 단계; 및 포토레지트층을 제거하는 단계를 포함한다.
상술한 본 발명의 목적, 특징 및 장점들은 첨부 도면에 관한 양호한 실시예의 다음 설명에 의해 명백해질 것이다.
도 1 내지 도 6은 본 발명의 일 실시예에 따른 비아에칭 방법을 예시하는 단면도,
도 7은 본 발명의 일 실시예에 따른 비아에칭 방법의 플로우 챠트, 및
도 8 내지 도 13은 본 발명의 다른 실시예에 따른 비아에칭 방법을 예시하는 단면도이다.
본 개시는 일반적으로 반도체 기판 내에 개구 프로파일을 형성하기 위한 프로세스에 적용될 수 있는 비아에칭 방법에 관한 것이다. 특히, 본 개시는 웨이퍼/다이들 상에 수직 상호연결부를 형성하기 위한 기판-관통 비아 프로세스(예를들면, 실리콘-관통 비아 또는 웨이퍼-관통 비아 공정)에 적용될 수 있는 비아 구조 및 그것을 제조하는 비아에칭 방법에 관한 것이다. 비아에칭 방법은 프론트 엔드 오브 더 라인(front-end-of-the line: FEOL) 소자 형성 후 및 상호연결 구조 형성 전에 수행될 수 있다. 비아에칭 방법은 FEOL 소자 및 상호연결 구조 형성 후에 수행될 수 있다. 하지만, 여기서 이해해야 할 것은, 특정 실시예들이 발명의 개념을 예시하는 예로서 제공되고 이 기술분야의 숙련된 기술자들이 본 발명의 개념을 다른 방법 또는 소자들에 쉽게 응용할 수 있다는 것이다. 또, 이해해야 할 것은 본 개시에서 설명된 방법과 장치가 일부 종래의 구조 및 프로세스들을 포함한다는 것이다. 이들 구조 및 프로세스들은 이 기술분야에 공지되어 있으므로 일반적인 수준으로만 설명될 것이다. 또, 도면 부호들은 편의 및 예시 목적을 위해 도면 전체에 반복되고, 그러한 반복은 도면 전체에서 어떤 필요 특징들 또는 단계들의 조합을 나타내는 것은 아니다. 또, 다음 설명에 기재된 제1특징부(feature)를 제2특징부 위쪽에, 제2특징부 위에, 제2특징부에 인접하게 또는 제2특징부에 결합하여 형성하는 것은 제1 및 제2 특징부가 직접 접촉하여 형성되는 실시예들을 포함할 수 있고, 또 제1 및 제2특징부가 직접 접촉하지 않도록 추가 특징부가 제1 및 제2특징부 사이에 개재될 수 있는 실시예들을 포함할 수 있다. 또한, 기판 상에, 예를들면, 기판을 에칭하는 것을 포함하는 한 특징부를 형성하는 것은 특징부들이 기판의 표면 위쪽에 형성되거나, 기판의 표면 상에 직접 형성되거나, 및/또는 비아들과 같이 기판의 표면 아래로 연장되어 형성되는 실시예들을 포함할 수 있다. 기판은 반도체 웨이퍼, 및 웨이퍼 상에 형성된 한 개 이상의 층을 포함할 수 있다. 비아는 여기서 규정된 바와 같이, 기판 상의 한 개 이상의 도전층들(예를들면, 금속 상호연결층들, 본딩패드들을 포함하는 접촉패드들) 사이의 연결부, 한 개의 도전층(예를들면, 금속 상호연결층)과 한 개의 반도체층(실리콘 특징부) 사이의 연결부, 및/또는 기판 상에 형성되거나 기판에 결합된 특징부들 사이의 다른 필요 연결부들을 마련할 수 있다. 비아에 마련된 연결부는 한 특징부에서 다른 특징부로 전기적인 통로를 제공하거나 제공하지 않을 수 있다. 비아는 도전재료, 절연재료, 및/또는 이 기술분야에서 사용되는 다른 재료로 충진될 수 있다. 또한, 비아는 기판상의 유전체 층들, 금속층들, 반도체 층들, 및/또는 이 기술분야에 공지된 다른 특징부들을 포함하는, 한 개 이상의 층들 내에 개구를 포함하는 기판에 형성될 수 있다.
도 1 내지 도 6의 단면도는 본 발명의 일 실시예의 비아에칭 방법을 예시한다. 도 1 내지 도 6에 개시된 방법은 도 7의 플로우 챠트에 기재된 단계들에 따라 진행된다.
본 발명의 방법은 반도체 기판(10)이 제공되는 단계(200)에서 시작된다. 도 1을 참조하면, 대표적으로, Si로 제조되지만 GaAs, GaAsP, InP, GaAlAs, InGaP 등으로 제조될 수 반도체 기판(10)이 단면도로 도시되어 있다. 도면은 반도체 기판(10)에 형성된 소자(100)를 예시한다. 예를들면, 소자(100)는 게이트, 소스 및 드레인 영역들을 포함하는 트랜지스터이다. 콘택 에치스톱 층(contact etch stop layer)(12)과 인터-레이어 유전체(inter-layer dielectric; ILD)층(14)은 기판(10)에 증착되어, 후술하는 실리콘-관통 비아를 형성하기 위한 하드 마스크층(15)을 형성한다. 하드 마스크층은 산화물(예를들면, 산화 실리콘), 질화물(예를들면, 질화 실리콘(S3N4), 탄화 실리콘, 질화산화-실리콘(silicon oxynitride), 및/또는 다른 적당한 유전체 재료를 포함할 수 있다. 하드 마스크층은 화학적 기상증착(chemical vapor deposition; CVD)법, 물리적 기상증착(physical vapor deposition; PVD)법, 원자층 증착(automic layer deposition; ALD)법, 및/또는 다른 프로세스로 형성된다. 콘택 에치스톱 층(12)의 한 예는 질화 실리콘층이고, ILD 층(14)의 한 예는 이산화 실리콘 유리(phosphosilicate glass; PSG) 층이다. 콘택 구조(contact structure)(16)는 소자(100)에 대해 전기적인 접속을 제공하도록 콘택 에치스톱 층(12)과 ILD 층(14)을 관통하는 콘택 개구에 형성된다. 콘택 개구를 충진하는 도전재료 층은 구리, 텅스텐, 알루미늄, 티타늄, 폴리실리콘 등과 같은 여러가지 재료들을 포함할 수 있다. ILD 층(14) 상의 잉여부분의 도전재료는 에칭 또는 화학 기계적 폴리싱(CMP) 등의 방법으로 제거된다.
다음으로, 본 발명의 방법은 포토레지스트 층(18)이 하드 마스크층(15)상에 스핀 코팅되는 단계(210)로 진행한다. 이어서, 포토레지스트 층(18)은 포토레지스트 층(18) 내에서 하드 마스크층(15)을 노출시키는 개구(18a)를 제공하도록 노출, 베이킹, 현상 및/또는 다른 포토리소그래픽 공정들에 의해 패터닝된다. 그 다음, 도 2에 예시된 바와 같이, 본 발명의 방법은 노출된 하드 마스크층(15)이 개구(15a)를 제공하도록, 패턴된 포토레지스트 층(18)을 에칭마스크로 사용하여 습식 에칭 또는 건식 에칭법으로 에칭되는 단계(220)로 진행한다. 일 실시예에서, 하드 마스크층 개구는 반응이온 에칭(reaction ion etch; RIE)법으로 에칭된다. 각각 개구(18a, 15a)를 포함하는 포토레지스트 층(18)과 하드 마스크층(15)은 후술하는 바와 같이 실리콘-관통 비아를 형성하기 위한 에칭마스크를 형성한다.
도 3을 참조하면, 본 발명의 방법은 비아(20)가 반도체 기판(10) 내에 에칭되는 단계(230)로 진행한다. 비아(20)(예를들면, 개구, 구멍)는 하드 마스크층(15)과 포토레지스트 층(18)을 에칭마스크로 사용하여 에칭되고, 반도체 기판(10)의 적어도 일부를 관통하는 기판-관통 비아(20)를 형성한다. 기판관통 비아(20)는 예를들면, 프라즈마 에칭, 화학적 습식 에칭, 레이저 드릴링 및/또는 다른 프로세스를 포함하는 적당한 에칭법을 사용하여 에칭될 수 있다. 일 실시예에서, 에칭 프로세스는 반도체 기판(10)을 에칭하는 딥(deep) RIE 프로세스를 포함한다. 에칭 프로세스는 비아가 반도체 기판의 전면(예를들면, 회로쪽 면)에서 후면(예를들면, 비회로쪽 면) 까지 에칭되도록 수행될 수 있다. 일 실시예에서, 비아는 대략 수십 내지 수백 마이크로미터(μm)의 깊이로 형성될 수 있다. 에칭 프로세스는 수직 측벽 프로파일 및 테이퍼된 측벽 프로파일을 갖는 기판-관통 비아를 형성할 수 있다.
비아에칭 프로세스시 기판-관통 비아(20)의 상단 모서리에 실리콘 언더컷(22)이 형성되는 것을 방지하기 위해, 언더컷 트리밍 프로세스 단계(240)가 비아 모서리들을 라이운딩함과 함께 비아 측벽의 표면조도를 부드럽게 하도록 수행되며, 이에 의해, 비아 측벽상에서 스캘럽 패턴(scalloping pattern)이 제거된다. 이러한 트리밍 프로세스는 도 4에 도시한 바와 같은 테이퍼된 측벽 프로파일을 갖는 기판-관통 비아(20")를 형성한다. 트리밍 프로세스는 예를들면, 플라즈마 건식 에칭, 화학적 습식 에칭, 또는 다른 프로세스들을 포함하는 적당한 에칭법을 사용할 수 있다. 예를들면, 트리밍 프로세스는 건식 에칭 장치에서 He, Ar, O2, CF 기반 가스, NF3, 및 SF6의 혼합가스를 사용하여 5-50 mTorr의 가스압력과 1000-2500 W의 RF 바이어스 전력(bias power) 조건으로 수행된다. 트리밍 프로세스가 완료된 후, 포토레지스트 애시(ash) 프로세스 단계(250)에서 포토레지스트 층(18)이 반도체 기판(10)으로부터 제거되고, 도 5에 도시된 바와 같이, 라운드된 모서리(24)를 갖는 비아(20")가 형성된다. 선택적으로, 산성 또는 염기성 용액이 사용되는 습식 클리닝 프로세스를 사용하여 기판(10) 상에 남아있을 수 있는 잔여물을 제거할 수 있다.
비아에칭 프로세스 후 수행되는 언더컷 트리밍 프로세스는 실리콘 언더컷 프로파일, 실리콘 새 부리 구조 및 스캘럽 패턴을 제거하여 비아 측벽 프로파일을 부드럽게 하고, 비아의 상단 모서리를 라운드지게 하고, 시드층, 배리어층 및/또는 패시베이션층의 형성을 포함하는 후속 증착 프로세스를 위한 비아 측벽 커버리지(coverage)를 개선할 수 있다. 이것은 비아충진 프로세스에 도움을 주고, 기판-관통 비아의 성능을 증진시킬 수 있다.
도 6에 도시된 바와 같이, 본 발명의 방법은 테이퍼된 프로파일과 라운드된 모서리를 갖는 기판-관통 비아(20")가 절연재료(26) 및/또는 도전재료(28)로 코팅 및/또는 충진되는 단계(260)로 진행한다. 절연재료(26)는 SiO2, Si3N4 및/또는 다른 적당한 유전체 재료들을 포함할 수 있다. 절연재료는 한 개 이상의 특징부로부터 비아 내에 형성된 도전재료를 절연시키도록 할 수 있다. 절연재료 층은 열산화법 등에 의해 성장되거나 CVD 등에 의해 증착될 수 있다. 비아는, 기판 상의 한 개 이상의 다른 소자 특징부들을 연결하는 한 개 이상의 상호 연결부에 전기적인 접속을 제공할 수 있도록 도전재료(28)로 충진될 수 있다. 일 실시예에서, 구리와 같은 시드층이 먼저 형성될 수 있다. 일 실시예에서, 한 개 이상의 접착 증진층들이 형성될 수 있다. 일 실시예에서, TaN을 포함하는 층과 같은 확산 배리어층(diffusion barrier layer)이 비아(20) 내에 형성될 수 있다. 비아는 구리, 알루미늄, 텅스텐, 탄탈륨, 티타늄, 니켈, 코밸트, 한 개 이상의 금속 규화물, 한 개 이상의 금속 질화물, 폴리실리콘 및/또는 다른 적당한 재료와 같은 도전재료로 충진될 수 있다. 일 실시예에서, 비아는 도금 프로세스를 사용하여 도전재료로 충진될 수 있다. 비아를 충진하기 위한 방법들의 다른 예는 스퍼터링, PVD, CVD 및/또는 다른 증착 프로세스를 포함한다. 본 발명의 프로세스는 화학 기계적 폴리싱, 웨이퍼 디닝(thinning), 상호연결부를 제공하는 추가 금속화(metallization) 프로세스, 및/또는 다른 프로세스들과 같은 단계들을 계속 더 수행할 수 있다.
도 8 내지 도 13은 다른 실시예의 비아에칭 방법을 단면도로 예시한다. 도 8 내지 도 13에 예시된 방법은 도 7의 플로우 챠트에 기재된 단계들에 따라 진행된다. 여기서, 도 1 내지 도 6의 설명과 동일하거나 유사한 부분들의 설명은 생략된다.
본 발명의 방법은 프론트 엔드 오브 더 라인(front-end-of-the line: FEOL) 특징부들과 백 엔드 오브 더 라인(back-end of the line: BEOL) 특징부들을 구비하는 기판을 제공하는 단계(200)에서 시작된다. 도 8을 참조하면, 복수 개의 금속층, 금속층들을 결합하는 복수 개의 콘택 비아, 및 금속을 분리하는 인터-메탈 유전체(inter-metal dieelectric; IMD) 층들(32)을 포함하여 형성된 상호연결 구조(30)(예를들면, 상호연결층들)를 구비하는 반도체 기판(10)이 예시되어 있다. 반도체 기판(10)은, 예를들면, 게이트 구조들, 소스/드레인 영역들, 다른 이온주입 영역들(doped regions), 격리구조들, 게이트, 소스 또는 드레인 영역들의 콘택들, 메모리 소자들(예를들면, 메모리셀들), 및/또는 다른 특징부들을 포함할 수 있는 한 개 이상의 FEOL 특징부들을 더 포함한다. 대표적으로, 이들 FEOL 특징부들은 반도체 기판(10)의 전면에 형성된다, 한 개 이상의 FEOL 특징부들은 상호연결 구조(30)에 전기적으로 연결되어 있다. 상호연결 구조(30)는 BEOL 특징부들로 통칭될 수 있다. 여기서 이해해야 할 것은 여기에 사용된 기판의 전면과 후면에서와 같은 '전'과 '후'는 임의로 사용된 것으로 기판의 표면들은 적당한 관례에 의해 다르게 표현될 수 있다는 것이다.
상호연결 구조(30)는 네 개의 금속층을 포함한다. 하지만, 금속층들은 다른 갯 수로도 형성될 수 있다. 상호연결 구조(30)에서, 금속층들과 비아들은 구리, 알루미늄, 텅스텐, 탄탈륨, 티타늄, 니켈, 코발트, 한 개 이상의 금속 규화물, 한 개 이상의 금속 질화물, 폴리실리콘, 금, 은, 및/또는 다른 적당한 재료와 같은 도전재료를 포함할 수 있다. 이때, 도전재료는 한 개 이상의 고융점 층(refractory layers) 또는 라이닝(linings)을 포함하는 것도 가능하다. 금속층들 및/또는 비아들은 CVD, DVD, ALD, 도금등과 같은 프로세스들 및/또는 다른 프로세스를 사용하여 형성될 수 있다.
IMD 층(32)과 그 밑에 위치한 유전체층들(12,14)은 후술하는 바와 같은 실리콘-관통 비아를 형성하기 위한 하드 마스크층(15")을 형성한다. IMD 층(32)은 저 유전율(low dieclectic constant)을 가진다. IMD 층(32)은 상호연결 구조(30) 내의 금속 트레이스들(예를들면, 상호연결 라인들) 사이의 최소 용량결합(capacitive coupling)을 위해 제공된다. IMD 층(32)은 금속층들을 격리할 수 있다. IMD 층(32)으로 적당한 다른 재료의 예는 TEOS(tetraethylorthosilicate) 산화물; 비 이온주입 실리콘 글래스(un-doped silicon glass); BPSG(borophosphosilicate glass; BSFG)), FSG(fused silica glass; FSG), PSG(phosphosilicate; PSG), BSG(boron doped silicon glass; BSG), SILKTM (미합중국 미시간주 소재 도우 케미컬(Dow chemical)에 의해 제조된 제품), BLACK DIAMOND(미합중국 캘리포니아주 산타 클라라 소재 어플라이드 메터리얼즈(Alllied Materials)에 의해 제조된 제품) 등과 같은 이온주입 산화 실리콘(doped silicon oxide); 및/또는 다른 적당한 공지의 절연재료를 포함한다. IMD 층(32)은 CVD, ALD, PVD 스핀온 코닝(spin-on coating) 등과 같은 프로세스들, 및/또는 다른 프로세스들에 의해 형성될 수 있다.
다음으로, 본 발명의 방법은 포토레지스트 층(18)이 하드 마스크층(15")상에 스핀 코팅되는 단계(210)로 진행한다. 이어서, 포토레지스트 층(18)은 포토레지스트 층(18) 내에서 하드 마스크층(15")을 노출시키는 개구(18a)를 제공하도록 노출, 베이킹, 현상 및/또는 다른 포토리소그래픽 공정들에 의해 패터닝된다. 그 다음, 도 9에 예시된 바와 같이, 본 발명의 방법은 노출된 하드 마스크층(15")이 개구(15"a)를 제공하도록, 패턴된 포토레지스트 층(18)을 에칭마스크로 사용하여 습식 에칭 또는 건식 에칭법으로 에칭되는 단계(220)로 진행한다. 각각 개구(18a, 15"a)를 포함하는 포토레지스트 층(18)과 하드 마스크층(15")은 후술하는 바와 같이 실리콘-관통 비아를 형성하기 위한 에칭마스크를 형성한다.
도 10을 참조하면, 본 발명의 방법은 비아(20)가 반도체 기판(10) 내에 에칭되는 단계(230)로 진행한다. 비아(20)(예를들면, 개구, 구멍)는 하드 마스크층(15")과 포토레지스트 층(18)을 에칭마스크로 사용하여 에칭되고, 반도체 기판(10)의 적어도 일부를 관통하는 기판-관통 비아(20)를 형성한다. 기판관통 비아(20)는 예를들면, 프라즈마 에칭, 화학적 습식 에칭, 레이저 드릴링 및/또는 다른 프로세스를 포함하는 적당한 에칭법을 사용하여 에칭될 수 있다. 일 실시예에서, 에칭 프로세스는 반도체 기판(10)을 에칭하는 딥 RIE 프로세스를 포함한다. 에칭 프로세스는 비아가 반도체 기판의 전면(예를들면, 회로쪽 면)에서 후면(예를들면, 비회로쪽 면) 까지 에칭되도록 수행될 수 있다. 일 실시예에서, 비아는 대략 수십 내지 수백 마이크로미터(μm)의 깊이로 형성될 수 있다. 에칭 프로세스는 수직 측벽 프로파일 또는 테이퍼된 측벽 프로파일을 갖는 기판-관통 비아를 형성할 수 있다.
비아에칭 프로세스시 기판-관통 비아(20)의 상단 모서리에 실리콘 언터것(22)이 형성되는 것을 방지하기 위해, 언터컷 트리밍 프로세스 단계(240)가 비아 모서리들을 라운딩하고 비아 측벽의 표면조도를 부드럽게 하도록 수행되며, 이에 의해, 비아 측벽 상에서 스캘럽 패턴(scalloping pattern)이 제거된다. 이러한 트리밍 프로세스는 테이퍼된 측벽 프로파일을 갖는 기판-관통 비아(20")를 형성한다. 트리밍 프로세스는 예를들면, 플라즈마 건식 에칭, 화학적 습식 에칭, 또는 다른 프로세스들을 포함하는 적당한 에칭법을 사용할 수 있다. 예를들면, 트리밍 프로세스는 건식 에칭 장치에서 He, Ar, O2, CF 기반 가스, NF3, 및 SF6의 혼합가스를 사용하여 5-10 mTorr의 가스압력과 1000-2500 W의 RF 바이어스 전력 조건하에서 수행된다. 트리밍 프로세스가 완료된 후, 포토레지스트 애시 프로세스 단계(250)에서 포토레지스트 층(18)이 반도체 기판(10)으로부터 제거되고, 도 12에 도시된 바와 같이, 라운드된 모서리(24)를 갖는 비아(20")가 형성된다. 선택적으로, 산성 또는 염기성 용액이 사용되는 습식 클리닝 프로세스를 사용하여 기판(10) 상에 남아있을 수 있는 잔여물를 제거할 수 있다.
비아에칭 프로세스 후 수행되는 언더컷 트리밍 프로세스는 실리콘 언더컷 프로파일, 실리콘 새 부리 구조 및 스캘럽 패턴을 제거하여 비아 측벽 프로파일을 부드럽게 하고, 비아의 상단 모서리를 라운드지게하고, 시드층, 배리어층 및/또는 패시베이션층의 형성을 포함하는 후속 증착 프로세스를 위한 비아 측벽 커버리지를 개선할 수 있다. 이것은 비아충진 프로세스에 도움을 주고, 기판-관통 비아의 성능을 증진시킬 수 있다.
도 13에 도시된 바와 같이, 본 발명의 방법은 기판-관통 비아(20")의 처리를 위한 단계(260)로 진행한다. 테이퍼된 프로파일과 라운드된 모서리를 갖는 기판-관통 비아(20")는 절연재료(26) 및/또는 도전재료(28)로 코팅 및/또는 충진된다. 본 발명의 프로세스는 화학 기계적 폴리싱, 웨이퍼 디닝, 상호연결부를 제공하는 추가 금속화 프로세스, 및/또는 다른 프로세스들과 같은 단계들을 계속 더 수행할 수 있다.
이상의 상세한 설명에서 본 발명은 특정한 실시예들에 관해 서술되었다. 하지만, 특정한 실시예들은 특허청구범위에 기재된 바와 같은 본 발명의 사상 및 범위를 벗어 나지 않고 다양한 변경, 구조, 프로세스, 및 수정들이 이루어질 수 있음은 명백할 것이다. 따라서, 명세서 및 도면들은 한정적인 것이 아닌 예시적인 것으로 간주되어야 한다. 또, 본 발명은 여러가지 다른 조합과 환경을 사용할 수 있고 명세서에 기재된 발명의 개념 범위를 벗어남이 없이 적절한 변경과 수정이 가능함을 이해해야 한다.
10: 기판 12: 에치스톱 층
14: ILD 층 15, 15": 하드 마스크층
15a, 15"a, 18a: 개구 18: 포토레지스트층 ,
20, 20": 비아 30: 상호연결 구조
32: IMD 층 100: 소자

Claims (10)

  1. 비아 에칭 방법에 있어서,
    반도체 기판을 제공하고;
    상기 반도체 기판상에 하드 마스크층을 형성하고;
    상기 하드 마스크층상에 포토레지스트층을 형성하고;
    상기 포토레지스트층을 패터닝하여 제1개구를 형성하고;
    상기 하드 마스크층을 패터닝하여 상기 제1개구 아래에 위치하는 제2개구를 형성함으로써 상기 반도체 기판의 일부를 노출하고;
    상기 반도체 기판의 노출된 부분을 에칭하여 상기 반도체 기판의 적어도 일부를 관통하는 비아를 형성하고;
    트리밍 프로세스를 수행하여 상기 하드 마스크층과 상기 반도체 기판 사이의 언더컷(undercut)을 제거하고 상기 비아의 상단 모서리를 라운딩하며;
    상기 포토레지트층을 제거하는 것을 포함하는 비아 에칭 방법.
  2. 제1항에 있어서, 상기 하드 마스크층은 에치스톱 층 및 유전체층을 포함하는 것인 비아 에칭 방법.
  3. 제1항에 있어서, 상기 트리밍 프로세스는 상기 비아의 측벽의 표면조도(roughness)를 감소시키고, 테이퍼된 측벽 프로파일을 갖는 비아를 형성하는 것인 비아 에칭 방법.
  4. 제1항에 있어서, 상기 트리밍 프로세스는 He, Ar, O2, CF 기반 가스, NF3, 또는 SF6 중 하나 이상을 포함하는 혼합가스를 사용하는 것인 비아 에칭 방법.
  5. 제1항에 있어서, 상기 포토레지스트를 제거한 이후에 상기 비아 내에 도전층을 형성하는 것을 더 포함하는 비아 에칭 방법.
  6. 비아 에칭 방법에 있어서,
    하드 마스크층이 형성된 반도체 기판을 제공하고;
    상기 하드 마스크층 내에 상호연결 구조물을 형성하고;
    상기 하드 마스크층상에 포토레지스트층을 형성하고;
    상기 포토레지스트층을 패터닝하여 제1개구를 형성하고;
    상기 하드 마스크층을 패터닝하여 상기 제1개구 아래에 위치하는 제2개구를 형성함으로써 상기 반도체 기판의 일부를 노출하고;
    상기 반도체 기판의 노출된 부분을 에칭하여 상기 반도체 기판의 적어도 일부를 관통하는 비아를 형성하고;
    트리밍 프로세스를 수행하여 상기 하드 마스크층과 상기 반도체 기판 사이의 언더컷을 제거하고 상기 비아의 상단 모서리를 라운딩하며;
    상기 포토레지트층을 제거하는 것을 포함하는 비아 에칭 방법.
  7. 제6항에 있어서, 상기 트리밍 프로세스는 상기 비아의 측벽의 표면조도를 감소시키고, 테이퍼된 측벽 프로파일을 갖는 비아를 형성하는 것인 비아 에칭 방법.
  8. 제6항에 있어서, 상기 트리밍 프로세스는 He, Ar, O2, CF 기반 가스, NF3, 또는 SF6 중 하나 이상을 포함하는 혼합가스를 사용하는 것인 비아 에칭 방법.
  9. 집적 회로 구조물에 있어서,
    반도체 기판;
    상기 반도체 기판상에 형성된 하드 마스크층;
    상기 하드 마스크층 내에 형성된 적어도 하나의 도전층; 및
    상기 하드 마스크층으로부터 상기 반도체 기판의 적어도 일부까지 연장되는 비아를 포함하고,
    상기 하드 마스크층과 상기 반도체 기판 사이의 언더컷이 제거되며, 상기 비아는 라운딩된 상단 모서리와 테이퍼된 측벽을 갖는 것인 집적 회로 구조물.
  10. 제9항에 있어서, 상기 비아는 도전성 재료로 충진되는 것인 집적 회로 구조물.
KR1020100027470A 2009-03-27 2010-03-26 비아 구조 및 그것을 형성하는 비아에칭 방법 KR101137624B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US16406909P 2009-03-27 2009-03-27
US61/164,069 2009-03-27
US12/722,949 2010-03-12
US12/722,949 US8329578B2 (en) 2009-03-27 2010-03-12 Via structure and via etching process of forming the same

Publications (2)

Publication Number Publication Date
KR20100108293A KR20100108293A (ko) 2010-10-06
KR101137624B1 true KR101137624B1 (ko) 2012-04-24

Family

ID=42783101

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020100027470A KR101137624B1 (ko) 2009-03-27 2010-03-26 비아 구조 및 그것을 형성하는 비아에칭 방법

Country Status (4)

Country Link
US (2) US8329578B2 (ko)
JP (1) JP5562087B2 (ko)
KR (1) KR101137624B1 (ko)
TW (1) TWI420590B (ko)

Families Citing this family (54)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8642441B1 (en) 2006-12-15 2014-02-04 Spansion Llc Self-aligned STI with single poly for manufacturing a flash memory device
US8634231B2 (en) * 2009-08-24 2014-01-21 Qualcomm Incorporated Magnetic tunnel junction structure
US8202766B2 (en) * 2009-06-19 2012-06-19 United Microelectronics Corp. Method for fabricating through-silicon via structure
US8580682B2 (en) * 2010-09-30 2013-11-12 Taiwan Semiconductor Manufacturing Company, Ltd. Cost-effective TSV formation
US9190325B2 (en) 2010-09-30 2015-11-17 Taiwan Semiconductor Manufacturing Company, Ltd. TSV formation
FR2969817B1 (fr) * 2010-12-23 2013-09-27 St Microelectronics Crolles 2 Réalisation de vias dans un circuit intégré
US8716128B2 (en) * 2011-04-14 2014-05-06 Tsmc Solid State Lighting Ltd. Methods of forming through silicon via openings
US8753981B2 (en) 2011-04-22 2014-06-17 Micron Technology, Inc. Microelectronic devices with through-silicon vias and associated methods of manufacturing
US8481425B2 (en) 2011-05-16 2013-07-09 United Microelectronics Corp. Method for fabricating through-silicon via structure
US8487425B2 (en) 2011-06-23 2013-07-16 International Business Machines Corporation Optimized annular copper TSV
US8394718B1 (en) 2011-09-12 2013-03-12 International Business Machines Corporation Methods of forming self-aligned through silicon via
US8518823B2 (en) 2011-12-23 2013-08-27 United Microelectronics Corp. Through silicon via and method of forming the same
US8609529B2 (en) 2012-02-01 2013-12-17 United Microelectronics Corp. Fabrication method and structure of through silicon via
US8963316B2 (en) 2012-02-15 2015-02-24 Advanced Semiconductor Engineering, Inc. Semiconductor device and method for manufacturing the same
US8691600B2 (en) 2012-05-02 2014-04-08 United Microelectronics Corp. Method for testing through-silicon-via (TSV) structures
US8691688B2 (en) 2012-06-18 2014-04-08 United Microelectronics Corp. Method of manufacturing semiconductor structure
US9275933B2 (en) 2012-06-19 2016-03-01 United Microelectronics Corp. Semiconductor device
US8900996B2 (en) 2012-06-21 2014-12-02 United Microelectronics Corp. Through silicon via structure and method of fabricating the same
US8525296B1 (en) 2012-06-26 2013-09-03 United Microelectronics Corp. Capacitor structure and method of forming the same
US8912844B2 (en) 2012-10-09 2014-12-16 United Microelectronics Corp. Semiconductor structure and method for reducing noise therein
US9159699B2 (en) * 2012-11-13 2015-10-13 Delta Electronics, Inc. Interconnection structure having a via structure
US9035457B2 (en) 2012-11-29 2015-05-19 United Microelectronics Corp. Substrate with integrated passive devices and method of manufacturing the same
KR102018885B1 (ko) * 2012-12-20 2019-09-05 삼성전자주식회사 관통전극을 갖는 반도체 소자 및 그 제조방법
US8716104B1 (en) 2012-12-20 2014-05-06 United Microelectronics Corp. Method of fabricating isolation structure
US9455188B2 (en) * 2013-01-18 2016-09-27 Globalfoundries Inc. Through silicon via device having low stress, thin film gaps and methods for forming the same
US9245790B2 (en) * 2013-01-23 2016-01-26 GlobalFoundries, Inc. Integrated circuits and methods of forming the same with multiple embedded interconnect connection to same through-semiconductor via
US9130022B2 (en) 2013-03-15 2015-09-08 Taiwan Semiconductor Manufacturing Co., Ltd. Method of back-end-of-line (BEOL) fabrication, and devices formed by the method
US8884398B2 (en) 2013-04-01 2014-11-11 United Microelectronics Corp. Anti-fuse structure and programming method thereof
US9287173B2 (en) 2013-05-23 2016-03-15 United Microelectronics Corp. Through silicon via and process thereof
US9123730B2 (en) 2013-07-11 2015-09-01 United Microelectronics Corp. Semiconductor device having through silicon trench shielding structure surrounding RF circuit
US9024416B2 (en) 2013-08-12 2015-05-05 United Microelectronics Corp. Semiconductor structure
US8916471B1 (en) 2013-08-26 2014-12-23 United Microelectronics Corp. Method for forming semiconductor structure having through silicon via for signal and shielding structure
US9847315B2 (en) * 2013-08-30 2017-12-19 Taiwan Semiconductor Manufacturing Company, Ltd. Packages, packaging methods, and packaged semiconductor devices
US9048223B2 (en) 2013-09-03 2015-06-02 United Microelectronics Corp. Package structure having silicon through vias connected to ground potential
US9117804B2 (en) 2013-09-13 2015-08-25 United Microelectronics Corporation Interposer structure and manufacturing method thereof
US9343359B2 (en) 2013-12-25 2016-05-17 United Microelectronics Corp. Integrated structure and method for fabricating the same
US10340203B2 (en) 2014-02-07 2019-07-02 United Microelectronics Corp. Semiconductor structure with through silicon via and method for fabricating and testing the same
US9659851B2 (en) * 2014-02-07 2017-05-23 Marvell World Trade Ltd. Method and apparatus for improving the reliability of a connection to a via in a substrate
US9312354B2 (en) 2014-02-21 2016-04-12 Taiwan Semiconductor Manufacturing Company, Ltd. Contact etch stop layers of a field effect transistor
US11532560B2 (en) * 2014-11-03 2022-12-20 Texas Instruments Incorporated Method of fabricating a tungsten plug in a semiconductor device
CN105990310B (zh) * 2015-01-30 2019-04-19 联华电子股份有限公司 半导体结构及其制造方法
US9401323B1 (en) * 2015-04-03 2016-07-26 International Business Machines Corporation Protected through semiconductor via (TSV)
KR20160120891A (ko) 2015-04-09 2016-10-19 삼성전자주식회사 반도체 장치
JP6479578B2 (ja) * 2015-05-29 2019-03-06 東芝メモリ株式会社 半導体装置の製造方法および半導体装置
KR102379165B1 (ko) 2015-08-17 2022-03-25 삼성전자주식회사 Tsv 구조를 구비한 집적회로 소자 및 그 제조 방법
KR102497205B1 (ko) 2016-03-03 2023-02-09 삼성전자주식회사 관통전극을 갖는 반도체 소자 및 그 제조방법
TWI621236B (zh) * 2016-07-12 2018-04-11 蔡佳勳 半導體結構與其製造方法
KR101868596B1 (ko) * 2016-10-10 2018-06-19 (주)아인스 비아 홀 형성 방법 및 이를 포함하는 비아 콘택 제조 방법
KR101873834B1 (ko) * 2016-10-10 2018-07-11 (주)아인스 비아 홀 형성 방법 및 이를 포함하는 비아 콘택 제조 방법
WO2020131989A1 (en) * 2018-12-21 2020-06-25 Mattson Technology, Inc. Surface smoothing of workpieces
CN110491831B (zh) * 2019-07-26 2022-06-14 福建省福联集成电路有限公司 一种制作通孔的方法及制得的器件
US11177137B2 (en) * 2020-01-17 2021-11-16 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer etching process and methods thereof
CN111312688A (zh) * 2020-02-28 2020-06-19 西安微电子技术研究所 一种芯片tsv通孔刻蚀结构及其制备方法
US11935749B2 (en) * 2022-06-16 2024-03-19 Nanya Technology Corporation Method of manufacturing semiconductor structure

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20060123994A (ko) * 2005-05-30 2006-12-05 주식회사 하이닉스반도체 반도체 소자의 소자분리막 형성방법
KR20070064763A (ko) * 2005-12-19 2007-06-22 삼성전자주식회사 반도체 소자의 형성 방법

Family Cites Families (51)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4363830A (en) * 1981-06-22 1982-12-14 Rca Corporation Method of forming tapered contact holes for integrated circuit devices
US5229647A (en) * 1991-03-27 1993-07-20 Micron Technology, Inc. High density data storage using stacked wafers
JPH05211239A (ja) * 1991-09-12 1993-08-20 Texas Instr Inc <Ti> 集積回路相互接続構造とそれを形成する方法
DE4314907C1 (de) * 1993-05-05 1994-08-25 Siemens Ag Verfahren zur Herstellung von vertikal miteinander elektrisch leitend kontaktierten Halbleiterbauelementen
US5391917A (en) * 1993-05-10 1995-02-21 International Business Machines Corporation Multiprocessor module packaging
US6882030B2 (en) * 1996-10-29 2005-04-19 Tru-Si Technologies, Inc. Integrated circuit structures with a conductor formed in a through hole in a semiconductor substrate and protruding from a surface of the substrate
EP2270845A3 (en) * 1996-10-29 2013-04-03 Invensas Corporation Integrated circuits and methods for their fabrication
US6037822A (en) * 1997-09-30 2000-03-14 Intel Corporation Method and apparatus for distributing a clock on the silicon backside of an integrated circuit
US5998292A (en) * 1997-11-12 1999-12-07 International Business Machines Corporation Method for making three dimensional circuit integration
DE69737262T2 (de) * 1997-11-26 2007-11-08 Stmicroelectronics S.R.L., Agrate Brianza Herstellungsverfahren für einen Vorder-Hinterseiten-Durchkontakt in mikro-integrierten Schaltungen
TW375797B (en) * 1998-05-19 1999-12-01 Macronix Internaitonal Co Ltd Process for forming rounded corner on shallow trench isolation by means of shrunken mask
JP3532788B2 (ja) * 1999-04-13 2004-05-31 唯知 須賀 半導体装置及びその製造方法
JP3539483B2 (ja) * 1999-09-28 2004-07-07 シャープ株式会社 半導体装置の製造方法
US6322903B1 (en) * 1999-12-06 2001-11-27 Tru-Si Technologies, Inc. Package of integrated circuits and vertical integration
US6444576B1 (en) * 2000-06-16 2002-09-03 Chartered Semiconductor Manufacturing, Ltd. Three dimensional IC package module
US6599778B2 (en) * 2001-12-19 2003-07-29 International Business Machines Corporation Chip and wafer integration process using vertical connections
EP1472730A4 (en) * 2002-01-16 2010-04-14 Mann Alfred E Found Scient Res HOUSING FOR ELECTRONIC CIRCUITS WITH REDUCED SIZE
US6762076B2 (en) * 2002-02-20 2004-07-13 Intel Corporation Process of vertically stacking multiple wafers supporting different active integrated circuit (IC) devices
US6800930B2 (en) * 2002-07-31 2004-10-05 Micron Technology, Inc. Semiconductor dice having back side redistribution layer accessed using through-silicon vias, and assemblies
US6903442B2 (en) * 2002-08-29 2005-06-07 Micron Technology, Inc. Semiconductor component having backside pin contacts
KR100474508B1 (ko) * 2002-11-07 2005-03-11 주식회사 하이닉스반도체 반도체 소자의 소자 분리막 형성 방법
US7030481B2 (en) * 2002-12-09 2006-04-18 Internation Business Machines Corporation High density chip carrier with integrated passive devices
JP4417072B2 (ja) * 2003-03-28 2010-02-17 シャープ株式会社 液晶表示装置用基板及びそれを用いた液晶表示装置
US6841883B1 (en) * 2003-03-31 2005-01-11 Micron Technology, Inc. Multi-dice chip scale semiconductor components and wafer level methods of fabrication
US6897148B2 (en) * 2003-04-09 2005-05-24 Tru-Si Technologies, Inc. Electroplating and electroless plating of conductive materials into openings, and structures obtained thereby
US6924551B2 (en) * 2003-05-28 2005-08-02 Intel Corporation Through silicon via, folded flex microelectronic package
US7111149B2 (en) * 2003-07-07 2006-09-19 Intel Corporation Method and apparatus for generating a device ID for stacked devices
TWI251313B (en) * 2003-09-26 2006-03-11 Seiko Epson Corp Intermediate chip module, semiconductor device, circuit board, and electronic device
US7122903B2 (en) * 2003-10-21 2006-10-17 Sharp Kabushiki Kaisha Contact plug processing and a contact plug
JP4340517B2 (ja) * 2003-10-30 2009-10-07 Okiセミコンダクタ株式会社 半導体装置及びその製造方法
US7335972B2 (en) * 2003-11-13 2008-02-26 Sandia Corporation Heterogeneously integrated microsystem-on-a-chip
US7060601B2 (en) * 2003-12-17 2006-06-13 Tru-Si Technologies, Inc. Packaging substrates for integrated circuits and soldering methods
US7049170B2 (en) * 2003-12-17 2006-05-23 Tru-Si Technologies, Inc. Integrated circuits and packaging substrates with cavities, and attachment methods including insertion of protruding contact pads into cavities
JP4467318B2 (ja) * 2004-01-28 2010-05-26 Necエレクトロニクス株式会社 半導体装置、マルチチップ半導体装置用チップのアライメント方法およびマルチチップ半導体装置用チップの製造方法
US6888253B1 (en) * 2004-03-11 2005-05-03 Northrop Grumman Corporation Inexpensive wafer level MMIC chip packaging
US7037792B2 (en) * 2004-06-25 2006-05-02 Promos Technologies, Inc. Formation of removable shroud by anisotropic plasma etch
DE102004042169B4 (de) * 2004-08-31 2009-08-20 Advanced Micro Devices, Inc., Sunnyvale Technik zur Erhöhung des Füllvermögens in einem elektrochemischen Abscheideprozess durch Verrundung der Kanten und Gräben
US7262495B2 (en) * 2004-10-07 2007-08-28 Hewlett-Packard Development Company, L.P. 3D interconnect with protruding contacts
US7297574B2 (en) * 2005-06-17 2007-11-20 Infineon Technologies Ag Multi-chip device and method for producing a multi-chip device
US7935602B2 (en) * 2005-06-28 2011-05-03 Micron Technology, Inc. Semiconductor processing methods
US7429529B2 (en) * 2005-08-05 2008-09-30 Farnworth Warren M Methods of forming through-wafer interconnects and structures resulting therefrom
US7772115B2 (en) * 2005-09-01 2010-08-10 Micron Technology, Inc. Methods for forming through-wafer interconnects, intermediate structures so formed, and devices and systems having at least one solder dam structure
US7393779B2 (en) * 2005-10-31 2008-07-01 International Business Machines Corporation Shrinking contact apertures through LPD oxide
TWI287273B (en) * 2006-01-25 2007-09-21 Advanced Semiconductor Eng Three dimensional package and method of making the same
JP2007266519A (ja) * 2006-03-30 2007-10-11 Oki Electric Ind Co Ltd 半導体素子の製造方法
US7351664B2 (en) 2006-05-30 2008-04-01 Lam Research Corporation Methods for minimizing mask undercuts and notches for plasma processing system
US7973413B2 (en) * 2007-08-24 2011-07-05 Taiwan Semiconductor Manufacturing Company, Ltd. Through-substrate via for semiconductor device
WO2009047588A1 (en) * 2007-10-09 2009-04-16 Freescale Semiconductor, Inc. Method for manufacturing a semiconductor device and semiconductor device obtainable with such a method
US8035198B2 (en) * 2008-08-08 2011-10-11 International Business Machines Corporation Through wafer via and method of making same
US8610259B2 (en) * 2010-09-17 2013-12-17 Tessera, Inc. Multi-function and shielded 3D interconnects
US8637968B2 (en) * 2010-12-02 2014-01-28 Tessera, Inc. Stacked microelectronic assembly having interposer connecting active chips

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20060123994A (ko) * 2005-05-30 2006-12-05 주식회사 하이닉스반도체 반도체 소자의 소자분리막 형성방법
KR20070064763A (ko) * 2005-12-19 2007-06-22 삼성전자주식회사 반도체 소자의 형성 방법

Also Published As

Publication number Publication date
US20100244247A1 (en) 2010-09-30
US20130062767A1 (en) 2013-03-14
US8896127B2 (en) 2014-11-25
JP2010232661A (ja) 2010-10-14
TW201041035A (en) 2010-11-16
KR20100108293A (ko) 2010-10-06
US8329578B2 (en) 2012-12-11
JP5562087B2 (ja) 2014-07-30
TWI420590B (zh) 2013-12-21

Similar Documents

Publication Publication Date Title
KR101137624B1 (ko) 비아 구조 및 그것을 형성하는 비아에칭 방법
US7786584B2 (en) Through substrate via semiconductor components
US8222139B2 (en) Chemical mechanical polishing (CMP) processing of through-silicon via (TSV) and contact plug simultaneously
US10840287B2 (en) 3DIC interconnect apparatus and method
EP3293757B1 (en) A method for bonding and interconnecting integrated circuit devices
US7973413B2 (en) Through-substrate via for semiconductor device
EP3032578B1 (en) Method for fabricating through-substrate vias and corresponding semiconductor device
US8501587B2 (en) Stacked integrated chips and methods of fabrication thereof
US9196670B2 (en) Through substrate features in semiconductor substrates
US8580682B2 (en) Cost-effective TSV formation
US20190279974A1 (en) Semiconductor Devices and Methods of Manufacture Thereof
TWI451544B (zh) 平坦化矽貫孔之方法
US20100072579A1 (en) Through Substrate Conductors
US20130140688A1 (en) Through Silicon Via and Method of Manufacturing the Same
US11315904B2 (en) Semiconductor assembly and method of manufacturing the same
US10790227B2 (en) Semiconductor device with interconnect structure and fabrication method thereof
CN111834313B (zh) 一种有源芯片高密度tsv结构及制作方法
TW202404893A (zh) 微機電系統裝置及其形成方法
CN114121779A (zh) 制备双大马士革结构的方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20150603

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20160325

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20170331

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20180329

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20190327

Year of fee payment: 8