TWI409882B - 半導體裝置及其製造方法 - Google Patents

半導體裝置及其製造方法 Download PDF

Info

Publication number
TWI409882B
TWI409882B TW096104863A TW96104863A TWI409882B TW I409882 B TWI409882 B TW I409882B TW 096104863 A TW096104863 A TW 096104863A TW 96104863 A TW96104863 A TW 96104863A TW I409882 B TWI409882 B TW I409882B
Authority
TW
Taiwan
Prior art keywords
film
wiring
metal film
semiconductor device
point metal
Prior art date
Application number
TW096104863A
Other languages
English (en)
Other versions
TW200805502A (en
Original Assignee
Seiko Instr Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Seiko Instr Inc filed Critical Seiko Instr Inc
Publication of TW200805502A publication Critical patent/TW200805502A/zh
Application granted granted Critical
Publication of TWI409882B publication Critical patent/TWI409882B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/7685Barrier, adhesion or liner layers the layer covering a conductive structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Physical Vapour Deposition (AREA)

Description

半導體裝置及其製造方法
本發明係有關具有多層配線構造之半導體裝置及其製造方法,尤其有關反射防止膜。
目前為止,在鋁或鋁合金上將光阻劑圖案化之際,為了防止不良情況原因的光暈(Halation),係形成有反射防止膜。例如專利文件1中揭示有以濺鍍堆積TiN、TaN、ZrN、HfN等高熔點金屬氮化膜的製造方法。第7圖到第11圖,係表示將此種高熔點金屬氮化膜用作反射防止膜之多層配線製造工程的一例。
但是將高熔點金屬氮化膜當作反射防止膜來適用於多層配線構造來說,不僅止於單純降低反射率就好的要求。這是因為具有多層配線構造之半導體裝置的情況下,下層金屬配線與上層金屬配線經由連接孔,以低貫通孔電阻來連接是非常重要的。
上述專利文件1所示之製造方法係難以得到充分低的電阻,故作為解決此者之手段,專利文件2揭示有在堆積高熔點金屬後,於氮環境中進行熱處理,藉此降低高熔點金屬之電阻率的製造方法;或是專利文件3揭示有層積堆積Ti與TiN的製造方法。又,使用高熔點金屬膜作為反射防止膜的重大理由是提高長期可靠度。使用鋁或鋁合金為主要配線時,會有電子遷移或應力遷移等有關配線之長期可靠度的劣化現象。此問題已知藉由使用高熔點金屬可得到配線補強效果,而對上述劣化現象可達成高耐性。
[專利文件1]日本特開平1-241162號公報[專利文件2]日本特開平5-226338號公報[專利文件3]日本特開平5-190551號公報
若依先前技術,則特徵為對具有多層配線構造之半導體裝置之反射防止膜,適用高熔點金屬氮化膜。然而將高熔點金屬氮化膜適用為反射防止膜時,在使用乾蝕刻技術開孔接觸孔之際,會如第12圖所示般沿著連接孔之側壁形成由阻劑、蝕刻氣體、基底亦即高熔點金屬氮化膜等所構成的副產生物12。上述副產生物,即使經過在一般蝕刻處理後所進行之阻劑灰化,和對有機剝除液之浸泡所形成的阻劑去除工程,也會在連接孔作為殘渣而殘留為王冠狀,因為該形狀而一般稱為隆起(Crown)。以下在本說明書中就記載為隆起。
在形成有上述隆起12之情況下,若使用濺鍍技術堆積第2配線金屬,則上述隆起會妨礙濺鍍粒子對連接孔的入射,而無法對連接孔內部堆積期望的膜厚。依此會如第13圖所示,造成配線金屬13之斷線而成為降低生產率的不良情況。又在不造成斷線之等級下作較薄堆積時,不可否定地有可能會無視於容易預測在半導體裝置之可靠度上有重大問題,就通過電氣特性評價,作為良品而流通到市面上。
專利文件2中,揭示有在高熔點金屬膜堆積後,於氮氣環境中進行熱處理的發明;但是這不只會增加工程,還必須有450℃左右之熱處理溫度,藉由以防止半導體基板與配線金屬之相互擴散為目的而廣泛使用之遮蔽金屬的劣化,會有對基板側之配線金屬電突(Spike)造成接合洩漏電流的增加等之虞,同時也可輕易想到熱處理之不一致等會造成高熔點金屬氮化膜對於高熔點金屬膜的厚度產生變化。這在形成上層配線之際,會產生於接觸孔接觸到高熔點金屬氮化膜層的情況,和接觸到高熔點金屬膜的情況,而難以得到安定的貫通電阻。
專利文件3中,揭示有以濺鍍將Ti與TiN層積構造化的發明;但是改變氣體環境,而在相同處理室內處理Ti和TiN時,每一次半導體基板處理,都必須有在濺鍍TiN之後使用Ar等惰性氣體來去除濺鍍靶表面之氮化層的步驟,故不只增加處理時間,在堆積於半導體基板之外還會造成靶消耗,故經濟性不良。將Ti與TiN分別在單獨處理室內處理時,因為TiN膜之高應力,堆積於TiN膜處理室內部的TiN會剝落並容易掉落到半導體基板,而在之後的配線形成工程中,有容易產生圖案缺陷而降低生產率之虞。
本發明之目的係針對具有多層配線構造之半導體裝置,提供一種具有多重配線構造之半導體裝置之製造方法,其中包含不會在接觸孔產生隆起(Crown),具有較高之長期可靠度,生產性、經濟性優良,具有相當低之貫通孔(Via孔)電阻的反射防止膜形成方法。
本發明中為了解決上述課題,係作為一種半導體裝置之製造方法,其係半導體裝置之多層配線構造的製造方法;由以下工程所構成:在包含第1遮蔽金屬之配線用金屬膜上,層積高熔點金屬膜的工程;和在上述高熔點金屬膜上,堆積反射防止膜的工程;和形成由包含上述第1遮蔽金屬之配線用金屬膜,與上述高熔點金屬膜,以及上述反射防止膜所形成之配線的工程;和在上述配線上形成層間絕緣膜的工程;和在上述層間絕緣膜上,形成與上述配線最上層,亦即與上述反射防止膜之連接孔的工程;和在上述連接孔形成後,選擇性去除上述連接孔底部之上述反射防止膜的工程;和經由上述連接孔,堆積第2配線用金屬膜的工程。
上述多層配線構造之製造方法中的構成材料,就材料成本廉價的理由來看,上述第1配線用金屬膜及第2配線用金屬膜,係作為鋁或鋁合金;為了提高對應力遷移及電子遷移之耐性,上述高熔點金屬膜係鈦、鈦化鎢、鎢、鉭、鉬的任一種;而作為在連接孔開口後僅藉由一般之阻劑灰化、對有機剝除液之浸泡等就可輕易去除蝕刻副產生物,而不會產生隆起,且對半導體裝置之製造工程之親和性也高的材料,上述反射防止膜係由矽或矽化物所構成。
另外,上述多層配線構造之製造方法中,作為構成材料之製法,就生產性、經濟性來看,係藉由PVD進行上述高熔點金屬膜之堆積方法及上述反射防止膜之堆積方法。
又,是作為一種半導體裝置,係一種多層配線構造之半導體裝置,其由以下所構成;由形成於半導體基板上而包含第1遮蔽金屬之配線用金屬膜、與僅形成於包含上述第1遮蔽金屬之配線用金屬膜上之高熔點金屬膜、與上述高熔點金屬膜上之反射防止膜所構成的第1配線,和形成於上述第1配線上的連接孔,和經由上述連接孔與上述第1配線連接的第2配線;其特徵係上述反射防止膜係僅形成於上述連接孔範圍以外的上述高熔點金屬膜上,且由矽或矽化物所構成。
若依本發明,則可實現一種具有多重配線構造之半導體裝置之製造,其中不會在具有多重配線構造之半導體裝置的接觸孔產生隆起,具有較高之長期可靠度,生產性、經濟性優良,具有相當低之貫通孔電阻,且電阻不一致較小。
第1圖到第6圖係表示本發明之實施例,亦即具有多層配線構造之半導體裝置之製造方法的工程剖面圖。
首先如第1圖所示,在堆積於半導體基板1上之層間絕緣膜2上,以PVD堆積遮蔽金屬3及第1鋁合金膜4之後,以補強配線為目的,不暴露於大氣而使用PVD在上述鋁合金膜4上,於真空中將鈦膜5連續堆積為厚度10~200nm的範圍。這是為了防止上述鋁合金膜4與上述鈦膜5之間產生氧化鋁膜,這對得到較低貫通電阻來說相當重要。接著在上述鈦膜5上,作為反射防止膜,係以PVD將非晶質矽膜6之厚度任意選擇其膜厚,來成為期望的反射率,為了降低反射率,非晶質矽膜之膜厚以設定在100~200為佳。可以的話以110~150為佳。上述鈦膜5與上述非晶質矽膜6並不需要在真空中連續堆積,但是就生產性來說係在同一個裝置內連續堆積為佳。
第2圖係表示對上述膜構造所構成之配線層,使用光微影法及乾蝕刻技術形成配線圖案後的工程剖面圖。接著如第3圖所示來形成金屬層間絕緣膜。金屬層間絕緣膜為了防止配置於上層之配線的斷線、短路,係以平坦為佳;使用以SOG作為犧牲膜而藉由全面蝕刻來平坦化的技術,或CMP(化學機械研磨)法所形成的金屬層間平坦化技術,來形成平滑的金屬層間絕緣膜7。接著使用光微影技術及乾蝕刻技術,形成第4圖所示的連接孔8。另外在連接孔的乾蝕刻之際,為了不使第1圖所堆積之非晶質矽膜6於乾蝕刻時的過度蝕刻中消失,必須調整選擇比。選擇比有藉由調整例如CHF3 、CF4 等蝕刻氣體的混合比,可輕易控制對非晶質矽膜6之選擇比的優點。
接著如第5圖所示,為了得到低貫通電阻,使用乾蝕刻技術僅去除連接孔底部的非晶質矽膜6。這可以藉由CF4 電漿或CHF3 電漿,輕易實現將與下層之鈦層5之選擇比取為相當大的蝕刻條件。藉此,不會過度蝕刻下層的鈦層5,而可將膜厚保持平均。因此可降低鈦層5之膜厚所造成的電阻值不一致。非晶質矽膜6的去除,可以在進行連接孔8之蝕刻後在相同裝置(in-situ)內加以處理,但是因為連接孔側壁會產生蝕刻的副產生物,故會留下該厚度份量的非晶質矽膜6;因此在進行連接孔8之蝕刻後,再藉由灰化、對有機剝除液浸泡來進行阻劑、副產生物的去除,才開始進行非晶質矽膜6的蝕刻為佳。使用包含高熔點金屬氮化膜之高熔點金屬膜11(第12圖)作為反射防止膜時,蝕刻時的副產生物會吸收大量的鈦,而難以去除該者;但如果是本發明之構造,則可輕易去除。藉此,可充分降低貫通孔電阻。
接著,使用PVD裝置,以RF蝕刻來清潔接觸孔8底部的鈦膜表面以後,堆積遮蔽金屬膜9及第2鋁合金膜10,之後使用光微影技術及乾蝕刻技術來得到第6圖的多層配線構造。
本實施例中雖使用鈦(Ti)作為配線補強用的高熔點金屬膜,但是鈦化鎢(TiW)、鎢(W)、鉭(Ta)、鉬(Mo)膜當然也可得到相同效果。更且作為反射防止膜除了非晶質矽以外,多晶矽或氮化矽般的矽化合物也可得到相同效果。
若依本發明,則為了充分降低貫通孔電阻,減少電阻不一致,係在第1鋁合金膜4之上下層積有高熔點金屬膜,故有應力遷移或電子遷移等之可靠度也提高的優點。
1...半導體基板
2...層間絕緣膜
3...遮蔽金屬膜
4...第1鋁合金膜
5...鈦膜
6...非晶質矽膜
7...金屬層間絕緣膜
8...連接孔
9...遮蔽金屬膜
10...第2鋁合金膜
11...包含高熔點金屬氮化膜之高熔點金屬膜
12...隆起
13...配線金屬
[第1圖]表示本發明之第1實施例的工程剖面圖[第2圖]表示本發明之第1實施例的工程剖面圖[第3圖]表示本發明之第1實施例的工程剖面圖[第4圖]表示本發明之第1實施例的工程剖面圖[第5圖]表示本發明之第1實施例的工程剖面圖[第6圖]表示本發明之第1實施例的工程剖面圖[第7圖]表示先前例的工程剖面圖[第8圖]表示先前例的工程剖面圖[第9圖]表示先前例的工程剖面圖[第10圖]表示先前例的工程剖面圖[第11圖]表示先前例的工程剖面圖[第12圖]表示先前例中造成隆起產生的剖面圖[第13圖]表示先前例中之隆起產生,造成金屬配線之覆蓋不良例子1的剖面圖
1...半導體基板
2...層間絕緣膜
3...遮蔽金屬膜
4...第1鋁合金膜
5...鈦膜
6...非晶質矽膜
7...金屬層間絕緣膜
9...遮蔽金屬膜
10...第2鋁合金膜

Claims (8)

  1. 一種半導體裝置之製造方法,係半導體裝置之多層配線構造的製造方法;其特徵係由以下工程所構成:將第1層間絕緣膜形成於半導體基板上的工程;在前述第1層間絕緣膜上,層積具有遮蔽金屬(barrier metal)的第1配線用金屬膜以及其上之高熔點金屬膜的工程;在上述高熔點金屬膜上,堆積反射防止膜的工程;形成由上述具有遮蔽金屬的第1配線用金屬膜、上述高熔點金屬膜以及上述反射防止膜所構成之配線的工程;在上述配線上形成第2層間絕緣膜的工程;蝕刻上述第2層間絕緣膜,以上述配線最上層之上述反射防止膜露出的方式形成連接孔同時於前述連接孔的側壁產生蝕刻副產生物的工程;除去產生於上述連接孔的側壁的前述蝕刻副產生物的工程;在上述連接孔形成後,選擇性去除上述連接孔底部之上述反射防止膜,露出上述高熔點金屬膜的工程;以及經由上述連接孔,堆積第2配線用金屬膜的工程。
  2. 如申請專利範圍第1項所記載之半導體裝置之製造方法,其中,上述第1配線用金屬膜及第2配線用金屬膜,係由鋁或鋁合金所構成。
  3. 如申請專利範圍第1項所記載之半導體裝置之製造方法,其中,上述高熔點金屬膜係鈦、鈦化鎢、鎢、 鉭、鉬的任一種。
  4. 如申請專利範圍第1項所記載之半導體裝置之製造方法,其中,上述反射防止膜係由矽或矽化物所構成。
  5. 如申請專利範圍第1項所記載之半導體裝置之製造方法,其中,藉由PVD進行上述高熔點金屬膜之堆積。
  6. 如申請專利範圍第1項所記載之半導體裝置之製造方法,其中,藉由PVD進行上述反射防止膜之堆積。
  7. 如申請專利範圍第1項所記載之半導體裝置之製造方法,其中,藉由乾蝕刻進行上述反射防止膜之去除。
  8. 一種半導體裝置,係多層配線構造之半導體裝置,其特徵為由以下所構成:半導體基板、被設於前述半導體基板上的第1層間絕緣膜、形成於上述第1層間絕緣膜上,而由具有遮蔽金屬的第1配線用金屬膜、僅形成於上述具有遮蔽金屬的第1配線用金屬膜上之高熔點金屬膜、以及僅被形成於上述高熔點金屬膜上之反射防止膜所構成的第1配線、被形成於設在上述第1配線上的第2層間絕緣膜之到達上述高熔點金屬膜的連接孔、和經由上述連接孔與上述第1配線連接的第2配線;上述反射防止膜係僅被配置於上述連接孔範圍以外的上述高熔點金屬膜上,同時上述反射防止膜係由矽或矽化物所構成。
TW096104863A 2006-02-18 2007-02-09 半導體裝置及其製造方法 TWI409882B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2006041689 2006-02-18
JP2007017604A JP2007251135A (ja) 2006-02-18 2007-01-29 半導体装置およびその製造方法

Publications (2)

Publication Number Publication Date
TW200805502A TW200805502A (en) 2008-01-16
TWI409882B true TWI409882B (zh) 2013-09-21

Family

ID=38443167

Family Applications (1)

Application Number Title Priority Date Filing Date
TW096104863A TWI409882B (zh) 2006-02-18 2007-02-09 半導體裝置及其製造方法

Country Status (5)

Country Link
US (1) US7833903B2 (zh)
JP (1) JP2007251135A (zh)
KR (1) KR20070082887A (zh)
CN (1) CN101034682B (zh)
TW (1) TWI409882B (zh)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN106409754B (zh) * 2015-07-29 2020-03-10 中芯国际集成电路制造(上海)有限公司 半导体结构及其制造方法
US11195759B2 (en) * 2018-11-30 2021-12-07 Taiwan Semiconductor Manufacturing Company Limited Semiconductor arrangement and method for making
CN114683162B (zh) * 2020-12-29 2023-09-12 中芯集成电路(宁波)有限公司 一种平坦化工艺方法

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200307589A (en) * 2002-06-07 2003-12-16 Fujitsu Ltd Semiconductor device manufacture method preventing dishing and erosion during chemical mechanical polishing
TW200601410A (en) * 2004-06-30 2006-01-01 Matsushita Electric Ind Co Ltd Semiconductor device and method for manufacturing same
US7253465B2 (en) * 2003-04-16 2007-08-07 Hitachi, Ltd. Semiconductor integrated circuit device and manufacturing method thereof

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100235937B1 (ko) * 1992-03-31 1999-12-15 김영환 반도체소자 제조공정의 비아 콘택형성방법
US5440167A (en) * 1994-02-23 1995-08-08 Crosspoint Solutions, Inc. Antifuse with double via contact and method of manufacture therefor
KR100295380B1 (ko) * 1997-04-02 2001-08-07 가네꼬 히사시 층간절연물질로서저유전율의비정질탄소불화물막을가질수있는반도체장치및그제조방법
US6831008B2 (en) * 2002-09-30 2004-12-14 Texas Instruments Incorporated Nickel silicide—silicon nitride adhesion through surface passivation
US20060105573A1 (en) * 2004-11-18 2006-05-18 Texas Instruments, Inc. Method for selective plasma etch of an oxide layer

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200307589A (en) * 2002-06-07 2003-12-16 Fujitsu Ltd Semiconductor device manufacture method preventing dishing and erosion during chemical mechanical polishing
US7253465B2 (en) * 2003-04-16 2007-08-07 Hitachi, Ltd. Semiconductor integrated circuit device and manufacturing method thereof
TW200601410A (en) * 2004-06-30 2006-01-01 Matsushita Electric Ind Co Ltd Semiconductor device and method for manufacturing same

Also Published As

Publication number Publication date
CN101034682A (zh) 2007-09-12
US7833903B2 (en) 2010-11-16
TW200805502A (en) 2008-01-16
US20070200191A1 (en) 2007-08-30
JP2007251135A (ja) 2007-09-27
CN101034682B (zh) 2012-01-18
KR20070082887A (ko) 2007-08-22

Similar Documents

Publication Publication Date Title
US20090280643A1 (en) Optimal tungsten through wafer via and process of fabricating same
KR20000048295A (ko) 반도체 장치의 배선 구조 및 그 제조 방법
US5767015A (en) Metal plug with adhesion layer
US7714440B2 (en) Metal interconnection structure of a semiconductor device having low resistance and method of fabricating the same
JP3586899B2 (ja) 半導体装置およびその製造方法
US7635644B2 (en) Semiconductor device including metal interconnection and method for forming metal interconnection
CN113764337B (zh) 导电插塞的制造方法及半导体结构
TWI409882B (zh) 半導體裝置及其製造方法
US5786637A (en) Interconnection with metal plug and reduced step
US7485574B2 (en) Methods of forming a metal line in a semiconductor device
JPH09283624A (ja) 半導体装置の製造方法
JPH07263589A (ja) 多層配線構造およびその製造方法
KR100364260B1 (ko) 반도체 집적 회로의 제조 방법
JP2009004633A (ja) 多層配線構造および製造方法
US7566972B2 (en) Semiconductor device and method for manufacturing the semiconductor device
JP3793995B2 (ja) 多層配線形成法
US20030038371A1 (en) Method of forming a metallic interconnect structure with a metallic spacer
JPH10294314A (ja) 半導体装置およびその製造方法
JP4207113B2 (ja) 配線構造の形成方法
JPH08139190A (ja) 半導体装置の製造方法
US7601632B2 (en) Method of forming a metal line of a semiconductor device
JP3767522B2 (ja) 多層配線形成法
JP3378693B2 (ja) 半導体装置の製造方法
TW202234578A (zh) 半導體裝置
KR100528071B1 (ko) 반도체 소자의 금속배선 형성 방법

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees