TWI378494B - Method for forming patterns in a semiconductor memory device - Google Patents

Method for forming patterns in a semiconductor memory device Download PDF

Info

Publication number
TWI378494B
TWI378494B TW097118827A TW97118827A TWI378494B TW I378494 B TWI378494 B TW I378494B TW 097118827 A TW097118827 A TW 097118827A TW 97118827 A TW97118827 A TW 97118827A TW I378494 B TWI378494 B TW I378494B
Authority
TW
Taiwan
Prior art keywords
pattern
forming
spacer
sacrificial layer
layer
Prior art date
Application number
TW097118827A
Other languages
English (en)
Other versions
TW200919544A (en
Inventor
Jae Seung Choi
Original Assignee
Hynix Semiconductor Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hynix Semiconductor Inc filed Critical Hynix Semiconductor Inc
Publication of TW200919544A publication Critical patent/TW200919544A/zh
Application granted granted Critical
Publication of TWI378494B publication Critical patent/TWI378494B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0338Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/30Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the memory core region
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/30Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the memory core region
    • H10B41/35Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the memory core region with a cell select transistor, e.g. NAND

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Semiconductor Memories (AREA)
  • Non-Volatile Memory (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Description

26 1378494 九、發明說明: 本申請案要求優先權保護,其根據在2007年10月 曰申請之韓國專利申請案第10-200 7-0108233號,其所有 容皆包含於其中以供參照。 【發明所屬之技術領域】 本發明係關於一種形成半導體的方法,且特別是關 一種使用間隔物而在半導體記憶元件中形成圖案的方法 【先前技術】 當已更高度整合半導體元件時,前述半導體元件之 析度必須高於用以製造圖案的前述光微影設備之解析度 例如,雖然使用前述光微影設備且透過單一曝光而可解 的最小尺寸爲45nm,半導體元件卻可能需要低於40nm 解析度。因此,爲了克服在形成極細微(ultra_fine)圖案 之前述光微影設備的限制,則提出了各種方法。其中之 就是使用間隔物來形成圖案的方法。 使用間隔物來形成圖案的前述方法包括:在蝕刻目 層上形成預定尺寸的材料層圖案;繞著前述材料層圖案 形成間隔物:以及使用前述間隔物來作爲蝕刻遮罩,以 刻前述蝕刻目標層。所以,可形成細微度相當於前述間 物之厚度的圖案。 因此,使用間隔物來形成圖案的方法會被廣泛地應 於在被高度整合的半導體記億元件中形成圖案。 然而’由於前述間隔物係無論位置爲何,皆形成爲 同厚度,所以很難同時以單一遮罩來形成如同線條/空間 內 於 解 〇 析 的 時 標 來 蝕 隔 用 相 之 1378494 _ 重複的細微圖案及大於預定尺寸的圖案。因此,形成重覆 、 之細微圖案的製程及形成大於預定尺寸之圖案的製程應該 . 以不同的遮罩來分開執行。因此,有可能發生在前述遮罩 之間的未對準(misalignment)。換言之,當以前述使用間隔 物來形成圖案的方法來形成不同尺寸之前述圖案時,可能 會由於前述不同的遮罩來發生未對準,可能會造成部分不 同的圖案間隔。 這種部分不同的圖案間隔可能會在例如快閃記憶體元 ^ 件的元件中造成嚴重問題,其中在前述圖案間之間隔會對 . 元件特性造成明顯的影響。在源極選擇電晶體及其相鄰之 . 字元線之間的間隔以及在汲極選擇電晶體及其相鄰之字元 線之間的間隔會對前述快閃記憶體元件之特性造成最明顯 的影響。若這些間隔不均勻且不滿足臨界尺寸(critical dimen sion) (CD),在操作元件時可能會發生如同程式混亂的 嚴重問題,然後前述元件無法正常操作。 第1圖係表示具有由使用間隔物來形成圖案之典型方 ^ 法所形成之未對準的圖案的半導體記憶元件之截面圖。 參照第1圖,汲極選擇電晶體之閘極110與相鄰於前 述汲極選擇電晶體的胞元電晶體之閘極1 3 0間的間隔、以 及在相鄰之區塊中汲極選擇電晶體之閘極1 20與相鄰於前 述汲極選擇電晶體的胞元電晶體之閘極1 3 2間的間隔’係 彼此並不一致。在此情況下,在操作前述元件期間’可能 會發生嚴重的問題,且因而降低前述元件的可靠度。這種 問題也會時常發生於圖案間之間隔會明顯影響到前述元件 1378494 特性的其他記憶體元件中。 ·. . 【發明內容】 本發明之實施例係涉及在半導體記憶元件中形成圖案 的方法’使得當重複之線條圖案及大於預定尺寸之圖案同 時存在時,在圖案之間的間隔會是均勻的。 在一個實施例中,一種在半導體記憶元件中形成圖案 的方法’該方法包含··在形成於半導體基板上的目標層上, 形成以第一間隔配置的第一間隔物以及以第二間隔配置的 W 第二間隔物;形成遮罩圖案,以覆蓋由相鄰之第二間隔物 . 所界定的前述目標層之一部份;以及使用前述第一間隔 物、前述第二間隔物及前述遮罩圖案來作爲蝕刻遮罩,以 將前述目標層圖案化,藉以形成至少兩個第一圖案及至少 一個第二圖案,其中,前述第二圖案比前述第一圖案還要 寬。 前述第二間隔之寬度係較佳爲小於前述第二圖案之寬 度,達前述第二間隔物的兩倍厚度。 ‘ 形成前述第一間隔物及前述第二間隔物係較佳爲包 含:在前述目標層上形成犧牲層;藉由蝕刻前述犧牲層來 形成第一犧牲層圖案及第二犧牲層圖案;在前述第一犧牲 層圖案之各個側壁上形成前述第一間隔物,以及在前述第 二犧牲層圖案之各個側壁上形成前述第二間隔物;以及移 除前述第一及第二犧牲層圖案。 前述第一犧牲層圖案之間距係較佳等同於前述第一圖 案之間距的兩倍。 1378494 .. 形成前述遮罩圖案係較佳爲包含:在前述半導體基板 , 上施加光阻;及將前述光阻圖案化,同時控制該光阻之臨 . 界尺寸’以使由前述相鄰之第二間隔物所界定的前述目標 層之一部分不會露出。 在另一個實施例中,一種在半導體記憶元件中形成圖 案的方法’該方法包含:在半導體基板上形成目標層;在 前述目標層上形成第一犧牲層圖案及第二犧牲層圖案;在 前述第一犧牲層圖案之各個側壁上形成第一間隔物,以及 ^ 在前述第二犧牲層圖案之各個側壁上形成第二間隔物;移 . 除前述第一及第二犧牲層圖案;形成遮罩圖案,覆蓋由前 . 述相鄰之第二間隔物所界定的前述目標層之一部份;移除 在則述第一及第—·間隔物當中不必要的間隔物;使用前述 第一間隔物、前述第二間隔物及前述遮罩圖案來作爲蝕刻 遮罩,以蝕刻前述目標層;以及移除前述第一間隔物、前 述第二間隔物及前述遮罩圖案,藉以形成至少兩個第一圖 案及至少一個第二圖案,其中,前述第二圖案比前述第一 ^ 圖案還要寬。 前述目標層係較佳爲電晶體之閘極導電層或位元線導 電層。 前述第一犧牲層圖案之間距係較佳爲等同於前述第一 圖案之間距的兩倍。前述第二犧牲層圖案之寬度係較佳爲 小於前述第二圖案之寬度,達前述第二間隔物的兩倍厚度。 前述方法係較佳爲更包含在形成前述第一及第二犧牲 層圖案以前,於前述目標層上形成單一層或多重層的硬式 1378494 遮罩。 前述方法係較佳爲更包含在前述第一及第 案上形成抗反射層。 在另外一個實施例中,一種在快閃記憶體 閘極的方法,該方法包含:於形成在半導體基 導電層上,形成第一犧牲層圖案及第二犧牲層 述第一犧牲層圖案之各個側壁上形成第一間隔 前述第二犧牲層圖案之各個側壁上形成第二間 前述第一及第二犧牲層圖案;形成遮罩圖案, 相鄰之第二間隔物所界定之前述閘極導電層的 及使用前述第一間隔物、前述第二間隔物及前 來作爲蝕刻遮罩來將前述閘極導電層圖案化, 電晶體之閘極及選擇電晶體之閘極。 前述第一犧牲層圖案之間距係較佳爲前述 之前述閘極圖案之間距的兩倍。 前述第二犧牲層圖案之寬度係較佳爲小於 晶體之前述閘極的寬度,達前述第二間隔物之n 前述方法係較佳爲更包含在形成前述第一 層圖案以前,於前述閘極導電層上形成單一層 硬式遮罩。 【實施方式】 以下,將參照附加圖式來詳細描述本發明 記憶元件中形成圖案的方法。 使用間隔物在半導體記憶元件中形成圖案 二犧牲層圖 元件中形成 板上之聞極 圖案;在前 物,以及在 隔物;移除 覆蓋由前述 一部分;以 述遮罩圖案 以形成胞元 胞元電晶體 前述選擇電 〖度的兩倍。 及第二犧牲 或多重層的 之在半導體 的方法係可 1378494 有效地被應用於形成重複之細微線條形狀的圖案。然 ·· .. 因爲不易以單一遮罩來同時形成前述線條,所以形成 . 大於預定尺寸之圖案時需要額外遮罩’而非用以形成 重複之細微線條的前述遮罩。特別是,在快閃記憶體 中,應該分別以不同的遮罩來形成具有重複之細微線 案的記憶體胞元電晶體及具有大於預定尺寸之圖案的 電晶體。當使用不同遮罩來執行這種光微影製程時, 會發生未對準,可能會造成前述快閃記憶體元件在操 ^ 的嚴重失效。 . 爲了解決此問題,根據本發明之實施例,在用於 . 具有重複之微細線條形狀的第一圖案期間形成遮罩圖 該遮罩圖案用以形成大於既定尺寸的第二圖案。此外 成用於前述第一圖案的間隔物,同時形成用於前述第 案的間隔物。因此,用於前述第二圖案的前述間隔物 形成前述第二圖案的圖案化製程中確保未對準 (margin),可防止由於前述圖案間隔不均勻而導致前述 ~ 故障。 第2A至2E圖係表示根據本發明之一個實施例的 導體記憶元件中形成圖案的方法。第3A至3E圖係進 表示第2A至2E圖之前述方法。 參照第2A圖及第3A圖,蝕刻目標層202及204 成在半導體基板200上。前述蝕刻目標層可以是單— 層叠結構的多重層。 在記憶體元件中’前述蝕刻目標層2〇2典型爲由书 而, 具有 前述 元件 條圖 選擇 可能 作時 形成 案, ,形 二圖 可在 餘裕 元件 在半 一步 係形 層或 f!J 如, 1378494 多晶矽所組成之電晶體的閘極導電層。此外,前述蝕 •« .. 標層204典型爲由例如,金屬矽化物所組成之低電阻 . 用以降低閘極的電阻。 在快閃記憶體元件中,前述蝕刻目標層202可以 憶體胞元電晶體之控制閘極導電層及選擇電晶體之閘 電層。此外,層間絕緣層可以沈積在前述蝕刻目標層 且前述胞元電晶體之通道氧化層及前述選擇電晶體之 絕緣層可以沈積在前述層間絕緣層下。 ^ 然後,硬式遮罩206係形成在前述蝕刻目標層204 . 且第一材料層208及抗反射層210係依序形成在前述 . 遮罩206上。 在用於將前述蝕刻目標層202及204圖案化之蝕 程期間,前述硬式遮罩206用來保護前述蝕刻目標層 及204。因此,由在蝕刻前述蝕刻目標層的製程中,對 述蝕刻目標層具有蝕刻選擇性的材料來構成前述硬式 206。例如,當由多晶矽層來形成前述蝕刻目標層時, 爲由氮化物或氧化物來形成前述硬式遮罩206。前述硬 罩206可以是層疊結構的多重層。 前述第一材料層208典型爲由例如氧化物所構成 將前述蝕刻目標層圖案化的光微影製程中,前述抗反 2 1 0用來防止光反射。前述抗反射層2 1 0典型爲由例如 化矽(SiON)所構成。 爾後,使用第一遮罩而在前述抗反射層210上形 阻圖案212及214。前述光阻圖案包括:第一光阻圖案 刻目 層, 是記 極導 下, 聞極 上, 硬式 刻製 202 於前 遮罩 較佳 式遮 。在 射層 氮氧 成光 212, 1378494 用於形成具有細微線條/空間之形狀的第一圖案; 阻214’用於形成大於既定尺寸的第二圖案。在快 元件中’可使用前述第一光阻圖案212來形成記 電晶體之閘極,且可使用前述第二光阻圖案214 擇電晶體之闊極。 前述第一及第二光阻圖案212及214在後續 來作爲將前述第一材料層208圖案化的遮罩。在 中’間隔物係形成在由前述第一光阻圖案2 1 2所 前述第一材料層的任一側壁上,且最後使用前述 作爲遮罩,以形成線條形狀的第一圖案。結果, 光阻圖案2丨2之寬度會成爲在前述相鄰之第一圖 間隔,且在前述相鄰之第一圖案之間的任何處形 一光阻圖案212。前述第一光阻圖案212之間距等 第一圖案之兩倍間距。換言之,在前述第一光阻 之間的間隔會對應於在兩個相鄰之空間之間的 此,前述空間指的是在前述兩個相鄰之第一圖案 域。
間隔物也形成在由前述第二光阻圖案2 1 4所 前述第一材料層208的任一側壁上。然後,在前 之間形成第三光阻圖案。前述第三光阻圖案係與 物一起被用作爲遮罩,以形成第二圖案。因此, 光阻圖案214之寬度小於前述第二圖案之目標寬 當前述第二圖案之目標寬度爲l〇〇nm且前述間隔 爲20nm時,前述第二光阻圖案214之寬度至少;I 及第二光 閃記憶體 憶體胞元 來形成選 製程中用 後續製程 圖案化之 間隔物來 前述第一 案之間的 成前述第 同於前述 圖案212 間隔。在 之間的區 圖案化之 述間隔物 前述間隔 前述第二 度。例如, 物之厚度 I 6 0 n m。 -12- 1378494 參照第2B圖及第3B圖,使用前述第-及第二光阻圖 案來作爲蝕刻遮罩,以触刻在第2A _中的前述抗反射層 2'及前述第-材料,然後,移除前述第—及第二光阻圖案 及前述抗反射層。用於前述間隔物的材料,例如多晶石夕, 會被沉積在上面形成有前述第一材料層圖案2〇8a及2〇8b 的前述半導體基板之整個表面上。將前❹㈣之多㈣ 層進行回蝕,藉以在前述第一材料層圖案2〇8a及2〇8b之 前述側壁上形成間隔物2 1 6a及2 1 6b。 較佳爲,前述間隔物216a及216b係由具有相較於前 述第一材料圖案208a和208b及前述硬式遮罩2〇6而爲相 對較高之触刻選擇性的材料所構成。例如,當前述第一材 料層圖案208a及208b係由氧化物所形成且前述硬式遮罩 206係由氮化物所形成時,前述間隔物216a及216b可由多 晶矽所形成。此外’由於前述第一圖案之寬度係由前述間 隔物216a之厚度所決定,所以前述間隔物216a之前述厚 度應被適當地控制在能確保前述第一圖案之所需寬度。
參照第2C圖及第3C圖,移除在前述間隔物216a及 216b之間的前述第一材料層,而僅殘留前述間隔物216a 及216b。然後,第三光阻圖案218會覆蓋在前述相鄰之間 隔物216b之間露出之前述硬式遮罩206的一部份’亦即, 與欲形成前述第二圖案的前述區域對應之前述硬式遮罩 2 06的一部份。在將前述蝕刻目標層202及204圖案化的後 續製程中,前述第三光阻圖案218及前述間隔物216b係作 爲遮罩。由於前述第三光阻圖案218僅需要覆蓋在前述相 1378494 ’ 鄰之間隔物216b之間的前述硬式遮罩206之表面, 述第三光阻圖案218可以覆蓋前述間隔物216b的大 因此,能夠確保前述間隔物2 1 6b之前述厚度之兩倍 位餘裕。 特別是在圖案間之間隔的均勻度在操作前述元 有顯著影響的快閃記憶體元件中,根據本發明所製 述記憶體元件會變得對未對準較不敏感。這是因爲 將胞元電晶體之閘極圖案化的前述第一遮罩及用於 W 電晶體之閘極圖案化的前述第二遮罩之間,對位餘 . (例如,兩倍大)前述間隔物2 1 6b之前述厚度。 . 參照第2D圖及第3D圖,使用在第2C圖中之 隔物216a及216b及前述第三光阻圖案218來作爲 罩,藉以蝕刻前述硬式遮罩2 06,然後移除前述第三 案》爾後,使用前述間隔物216a及216b及前述硬 206來作爲蝕刻遮罩,藉以蝕刻並圖案化前述蝕刻 202及204。也有可能蝕刻前述硬式遮罩206,然後 有前述第三光阻圖案的情況下蝕刻前述蝕刻目標層 2 04。之後,使用第三遮罩來移除前述間隔物216a ; 之不必要的部分。 參照第2E圖及第3E圖,從前述第一及第二圖 中移除第2D圖中之所有的前述間隔物216a及216b 第一圖案220及第二圖案222係在其之間以所需的 所需的間隔而被形成。前述第一圖案220具有重複 的形狀,且前述第二圖案222之寬度大於前述第一圈 所以前 部分。 大的對 件時會 造的前 在用於 將選擇 裕大於 前述間 蝕刻遮 光阻圖 式遮罩 目標層 在存在 202及 5. 216b 案區域 。結果, 寬度及 之線條 1 案 220 -14- 1378494
W 的,述爾寸在前。善 不行 狀中前。尺使,裕改 在進 形fM在物定即準餘能 以, 條製,隔預,對的此 可下 線影外間述後未同因 但況 複 1 此的前然成相且 ,情 重光。案於。造度, 明的 有一案圖大案中厚隔 發疇 具豸圖個成圖案述間 本範 成¾罩兩形罩圖前案 了及 形M遮述在遮述之圖 述神 於^的前以刻前物的 描精 用。,案於藉蝕在隔勻 來明 應案圖用,成-間均 例發 被圖個成程形間述及 施之 可的兩形製中期前裕 實圍 例寸述時影物程與餘。的範 施尺前同微隔製度作度定利 。J 實定於亦光間影厚操靠特專改明 之預用,二的微有保可據請修說 明於成中第案光是確的根申及單 。 發大形程行圖二還能件以述化簡 度本及時製執述第案,元已下變式 寬 案同續,前述圖此述 離種圖 之 圖可後後之前述因前 悖各 ί 第1圖係表示具有由使用間隔物來形成圖案之典型方 法所形成之未對準的圖案的半導體記憶元件之截面圖。 第2A至2E圖係表示根據本發明之一個實施例的在半 導體記憶元件中形成圖案的方法。 第3A至3E圖係進一步表示第2A至2E圖之前述方法。 【主要元件符號說明】 110' 120 閘極 130 ' 132 閘極 200 半導體基板 1378494 202、 204 蝕刻目 標 層 206 硬式遮 罩 208 第一 -材 料 層 208a、 208b 第- -材 料 層 圖案 210 抗反射 層 212 第— -光 阻 圖 案 214 第二 二光 阻 圖 案 216a、 216b 間隔物 218 第三 三光 阻 圖 案 220 第- -圖 案 222 第二 二圖 案

Claims (1)

1378494 第 97118827 號 *j修正本 在半導體記憶元件中形成圖案的方法」專利案 (2012年1月19日修正) 十、申請專利範圍: 1.一種在半導體記憶元件中形成圖案的方法,該方法包含: 在形成於半導體基板上的目標層上,形成以第一間 隔配置的第一間隔物以及以第二間隔配置的第二間隔 物; 在形成有前述第一及第二間隔物的前述半導體基板 W 上施加光阻; • 將前述光阻圖案化,同時控制其臨界尺寸(critical . dimension),以使前述目標層之由前述兩個相鄰之第二間 隔物所界定的部分不被露出;以及 使用前述第一間隔物、前述第二間隔物及前述遮罩 圖案來作爲蝕刻遮罩’以將前述目標層圖案化,藉以形 成至少兩個第一圖案及至少一個第二圖案, 其中,前述第二圖案比前述第一圖案還要寬。 ‘ 2.如申請專利範圍第1項之方法,其中,前述第二間隔比 前述第二圖案之寬度小兩倍的前述第二間隔物的厚度。 3.如申請專利範圍第1項之方法’其中’形成前述第一間 隔物及前述第二間隔物包含: 在前述目標層上形成犧牲層; 藉由蝕刻前述犧牲層來形成第一犧牲層圖案及第二 犧牲層圖案; 在前述第一犧牲層圖案之各個側壁上形成前述第一 1378494 修正本 . 間隔物,以及在前述第二犧牲層圖案之各個側壁上形成 ; 前述第二間隔物;以及 . 移除前述第一及第二犧牲層圖案。 4. 如申請專利範圍第3項之方法’其中’前述第一犧牲層 圖案之間距長達前述第一圖案之間距的兩倍。 5. 如申請專利範圍第3項之方法,其中,前述犧牲層包含 餓刻選擇性比前述目標層還要高的材料。 6. 如申請專利範圍第5項之方法,其中,前述目標層包含 、. 多晶矽膜或多晶矽膜與金屬矽化物膜之堆疊結構,且前 述犧牲層包含氧化物膜。 . 7.—種在半導體記憶元件中形成圖案的方法,該方法包含: 在半導體基板上形成目標層; 在前述目標層上形成第一犧牲層圖案及第二犧牲層 圖案; 在前述第一犧牲層圖案之各個側壁上形成前述第一 間隔物’以及在前述第二犧牲層圖案之各個側壁上形成 ^ 前述第二間隔物; 移除前述第一及第二犧牲層圖案; 形成遮罩圖案,覆蓋前述目標層之由前述相鄰之第 二間隔物所界定的部份; 移除在前述第一及第二間隔物當中不必要的間隔 物; 使用前述第一間隔物、前述第二間隔物及前述遮罩 圖案來作爲蝕刻遮罩’以蝕刻前述目標層;以及 1378494 ' 修正本 .. 移除前述第一間隔物、前述第二間隔物及前述遮罩 圖案,藉以形成至少兩個第一圖案及至少一個第二圖案, . 其中,前述第二圖案比前述第一圖案還要寬。 8. 如申請專利範圍第7項之方法,其中,前述目標層係電 晶體之閘極導電層或位元線導電層。 9. 如申請專利範圍第7項之方法,其中,前述犧牲層圖案包 含氧化物。 10.如申請專利範圍第7項之方法,其中,前述第一犧牲層 W 圖案之間距長達前述第一圖案之間距的兩倍。 • 11.如申請專利範圍第7項之方法,其中,前述第二犧牲層 圖案之寬度比前述第二圖案之寬度小兩倍的前述第二間 隔物的厚度。 12. 如申請專利範圍第7項之方法,其中,更包含在形成前 述第一及第二犧牲層圖案以前,於前述目標層上形成單 一層或多重層的硬式遮罩。 13. 如申請專利範圍第7項之方法,其中,更包含在前述第 一及第二犧牲層圖案上形成抗反射層。 14. 如申請專利範圍第7項之方法,其中,前述第一及第二 間隔物包含多晶矽或氮化物。 1 5 ·~種在快閃記憶體元件中形成閘極的方法,該方法包含: 於形成在半導體基板上之閘極導電層上,形成第一 犧牲層圖案及第二犧牲層圖案; / 在前述第一犧牲層圖案之各個側壁上形成前述第一 間隔物’以及在前述第二犧牲層圖案之各個側壁上形成 1378494 修正本 前述第二間隔物; ;· 移除前述第一及第二犧牲層圖案; I層的由前述相鄰 3隔物及前述遮罩 I圖案化,以形成 〇 ,前述閘極導電層 膜之堆叠結構。 ,前述第一犧牲層 I閘極圖案之間距 ,前述第二犧牲層 3極的寬度小兩倍 ,更包含在形成前 • 形成遮罩圖案,覆蓋前述閘極導| 之第二間隔物所界定之部分;以及 使用前述第一間隔物、前述第二 圖案作爲蝕刻遮罩來將前述閘極導電長 胞元電晶體之閘極及選擇電晶體之閘極 1 6.如申請專利範圍第1 5項之方法,其中 ^ 包含多晶矽膜或多晶矽膜與金屬矽化物 .1 7 ·如申請專利範圍第1 5項之方法,其中 圖案之間距長達前述胞元電晶體之前劲 的兩倍。 1 8.如申請專利範圍第1 5項之方法,其中 圖案之寬度比前述選擇電晶體之前述博 的前述第二間隔物之厚度。 1 9 ·如申請專利範圍第1 5項之方法,其中 述第一及第二犧牲層圖案以前,於前述閘極導電層上形 成單一層或多重層的硬式遮罩。 1378494 叫年f月舞正替換頁 修正頁 第2D圖
第2E圖
TW097118827A 2007-10-26 2008-05-22 Method for forming patterns in a semiconductor memory device TWI378494B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020070108233A KR100914289B1 (ko) 2007-10-26 2007-10-26 스페이서를 이용한 반도체 메모리소자의 패턴 형성방법

Publications (2)

Publication Number Publication Date
TW200919544A TW200919544A (en) 2009-05-01
TWI378494B true TWI378494B (en) 2012-12-01

Family

ID=40583383

Family Applications (1)

Application Number Title Priority Date Filing Date
TW097118827A TWI378494B (en) 2007-10-26 2008-05-22 Method for forming patterns in a semiconductor memory device

Country Status (4)

Country Link
US (1) US7968467B2 (zh)
KR (1) KR100914289B1 (zh)
CN (1) CN101419908B (zh)
TW (1) TWI378494B (zh)

Families Citing this family (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7790360B2 (en) * 2007-03-05 2010-09-07 Micron Technology, Inc. Methods of forming multiple lines
KR100950480B1 (ko) 2008-06-20 2010-03-31 주식회사 하이닉스반도체 스페이스 패터닝 기술을 이용한 반도체 소자의 활성영역형성방법
KR101565796B1 (ko) * 2008-12-24 2015-11-06 삼성전자주식회사 반도체 소자 및 반도체 소자의 패턴 형성 방법
KR20100126998A (ko) * 2009-05-25 2010-12-03 삼성전자주식회사 라인 및 스페이스 패턴의 형성 방법
KR101645720B1 (ko) 2009-09-15 2016-08-05 삼성전자주식회사 패턴 구조물 및 이의 형성 방법.
KR20110135136A (ko) * 2010-06-10 2011-12-16 주식회사 하이닉스반도체 반도체 장치의 극미세 패턴 형성을 위한 방법
KR20120001339A (ko) 2010-06-29 2012-01-04 삼성전자주식회사 반도체 소자의 미세 패턴 형성 방법
KR20120062385A (ko) 2010-12-06 2012-06-14 에스케이하이닉스 주식회사 반도체 메모리 소자의 형성방법
KR101085630B1 (ko) * 2010-12-15 2011-11-22 주식회사 하이닉스반도체 반도체 소자의 패턴 형성방법
FR2973156B1 (fr) * 2011-03-24 2014-01-03 St Microelectronics Crolles 2 Procede de decomposition de lignes d'un circuit electronique
JP2013065772A (ja) * 2011-09-20 2013-04-11 Toshiba Corp 半導体装置の製造方法
TWI487004B (zh) * 2013-03-01 2015-06-01 Winbond Electronics Corp 圖案化的方法及記憶體元件的形成方法
US9076736B2 (en) * 2013-03-14 2015-07-07 Taiwan Semiconductor Manufacturing Company, Ltd. Patterning method for semiconductor device fabrication
KR102066584B1 (ko) * 2013-03-14 2020-01-15 삼성전자주식회사 배선 구조물, 배선 구조물의 제조 방법 및 배선 구조물을 포함하는 반도체 장치의 제조 방법
CN104681429B (zh) * 2013-11-27 2017-12-29 中芯国际集成电路制造(上海)有限公司 半导体结构的形成方法
KR102323456B1 (ko) * 2014-12-26 2021-11-10 삼성전자주식회사 반도체 소자 및 반도체 소자의 제조 방법
KR102362065B1 (ko) * 2015-05-27 2022-02-14 삼성전자주식회사 반도체 소자의 제조 방법
US20170253988A1 (en) * 2016-03-01 2017-09-07 The Boeing Company Electromodification of Conductive Surfaces
CN111489960B (zh) * 2019-01-25 2023-11-10 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
CN113363142B (zh) * 2020-03-05 2023-06-09 中芯国际集成电路制造(上海)有限公司 半导体器件的形成方法
US11335568B2 (en) 2020-05-12 2022-05-17 Winbond Electronics Corp. Method for forming semiconductor structure
CN112117185B (zh) * 2020-08-18 2023-04-28 全芯智造技术有限公司 一种图案化方法
CN113964088B (zh) * 2021-09-29 2024-05-17 长鑫存储技术有限公司 半导体结构的形成方法及半导体结构

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100261167B1 (ko) * 1998-04-14 2000-07-01 김영환 반도체 소자의 게이트 제조방법
US6329124B1 (en) 1999-05-26 2001-12-11 Advanced Micro Devices Method to produce high density memory cells and small spaces by using nitride spacer
KR20020024415A (ko) * 2000-09-25 2002-03-30 윤종용 반도체 소자의 패턴 형성방법
KR100476924B1 (ko) * 2002-06-14 2005-03-17 삼성전자주식회사 반도체 장치의 미세 패턴 형성 방법
KR100554514B1 (ko) * 2003-12-26 2006-03-03 삼성전자주식회사 반도체 장치에서 패턴 형성 방법 및 이를 이용한 게이트형성방법.
US7300837B2 (en) * 2004-04-30 2007-11-27 Taiwan Semiconductor Manufacturing Co., Ltd FinFET transistor device on SOI and method of fabrication
US8129289B2 (en) * 2006-10-05 2012-03-06 Micron Technology, Inc. Method to deposit conformal low temperature SiO2

Also Published As

Publication number Publication date
CN101419908B (zh) 2010-09-29
US7968467B2 (en) 2011-06-28
CN101419908A (zh) 2009-04-29
KR20090042458A (ko) 2009-04-30
US20090111270A1 (en) 2009-04-30
KR100914289B1 (ko) 2009-08-27
TW200919544A (en) 2009-05-01

Similar Documents

Publication Publication Date Title
TWI378494B (en) Method for forming patterns in a semiconductor memory device
US10553431B2 (en) Cut last self-aligned litho-etch patterning
US10109486B2 (en) Cut first self-aligned litho-etch patterning
KR101508368B1 (ko) 패터닝 방법 및 메모리 장치를 형성하는 방법
US9196623B2 (en) Semiconductor circuit structure and process of making the same
TWI471903B (zh) 使用間隙物罩幕以倍增頻率之方法
US8227354B2 (en) Method of forming semiconductor device patterns
KR100880323B1 (ko) 플래시 메모리 소자의 제조 방법
US9245766B2 (en) Memory circuit structure and semiconductor process for manufacturing the same
US8309462B1 (en) Double spacer quadruple patterning with self-connected hook-up
US9224617B2 (en) Forming cross-coupled line segments
KR100948464B1 (ko) 반도체 소자의 패턴 형성 방법
KR20090029521A (ko) 반도체 소자의 미세 패턴 형성 방법
US20090305506A1 (en) Self-aligned dual patterning integration scheme
KR101368544B1 (ko) 간이화한 피치 더블링 프로세스 플로우
KR100870289B1 (ko) 플래시 메모리 소자 및 그의 제조 방법
KR101053990B1 (ko) 반도체 소자의 패턴 형성방법
KR20090000882A (ko) 반도체소자의 미세 패턴 형성방법
KR20080081402A (ko) 반도체 소자의 제조방법
KR20110070317A (ko) 반도체 소자의 패턴 형성방법
KR20100039565A (ko) 반도체 소자의 제조 방법
JP2000114236A (ja) 半導体装置の製造方法

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees