TWI343593B - Gas baffle and distributor for semiconductor processing chamber - Google Patents

Gas baffle and distributor for semiconductor processing chamber Download PDF

Info

Publication number
TWI343593B
TWI343593B TW095107650A TW95107650A TWI343593B TW I343593 B TWI343593 B TW I343593B TW 095107650 A TW095107650 A TW 095107650A TW 95107650 A TW95107650 A TW 95107650A TW I343593 B TWI343593 B TW I343593B
Authority
TW
Taiwan
Prior art keywords
gas
diffuser
processing chamber
gas diffuser
substrate
Prior art date
Application number
TW095107650A
Other languages
English (en)
Other versions
TW200636809A (en
Inventor
Lawrence Chung-Lai Lei
Siqing Lu
Steven E Gianoulakis
Won B Bang
David P Sun
Yen-Kun Victor Wang
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of TW200636809A publication Critical patent/TW200636809A/zh
Application granted granted Critical
Publication of TWI343593B publication Critical patent/TWI343593B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3321CVD [Chemical Vapor Deposition]

Description

1343593 九、發明說明: 【發明所屬之技術領域】 本發明係有關於半導體製造,尤其是指在半導體處理 腔室内用以傳送氣體之頂部氣體檔板與散佈器。 【先前技術】
化學氣相沉積(CVD )係一應用於半導體之氣體反應 製程,用以形成所需物質之薄層或薄膜於基材上。有些高 密度電漿(HDP )增強型化學氣相沈積製程係使用一具反 應性之化學氣體與使用射頻產生之電漿所形成之物理離子 加強了該薄膜沉積,該沉積藉由該正價電漿離子以一近乎 垂直於該表面之角度沉積於一偏負極之基材表面,或對該 基材表面施以一偏壓以使其能以對該表面較好之角度沉積 其上。積體電路製造的其中一目標為以高生產率形成非常 薄且均勻的薄膜於基材上。有很多因素在評估一製程系統 以及由該系統所執行之製程時皆必須被考慮,例如:該電 源供應之種類與幾何形狀、該氣體散佈系統與排氣管、基 材之加熱與冷卻、設計、對稱、腔室表面之組成與溫度控 制、腔室内增長之物質。 不穩定之氣體散佈是半導體製造遭遇的一個問題,因 其會影響沉積之均勻性。在一已知之腔室結構内,環繞製 程區域周圍係設有一充滿氣體之空間,以及複數個噴嘴在 5 1343593
内部放射狀延伸以使氣體往該基材表面。此 均勻的散佈氣體橫越該基材表面,以使更多 材中心而不朝向該基材之邊緣。一頂端氣體 配置於該基材支撐構件上方以改善沉積均勻 儘管應用該頂端氣體喷嘴可獲得改善, 改善與(或)替代技術仍是增加該基材表面 均勻度所迫切渴望的。 【發明内容】 本發明提供包含一引進氣體進入腔室的 半導體的裝置之技術。更明確而言,本發明 於提高在半導體處理腔室之製程氣體到基 度。 在本發明之一實施例,一氣體散佈器包 係具有一適以自該本體向外擴散氣體之上表 表面對面之下表面。該下表面有一中央部件 梯表面分隔中央部件的凹陷圓周(recessed 件。該本體更包含一氣體進口、複數個配置 之氣體進口以及一連結該進口與該複數個氣 通道。 在本發明之另一實施例,一基材處理腔 件,該封圍件具有一頂蓋、一側壁與一用以 材支撐。一氣體散佈器係配置於該基材支撐 體散佈器至少包含一具有一檔板之本體,該 設計之挑戰為 氣體朝向該基 喷嘴則可直接 度。 然更進一步之 上之氣體散佈 方法與一處理 之實施例係關 味之上的均勻 含有一本體, 面與一位於上 ,以及一藉階 peripheral)部 於該階梯表面 體出口之氣體 室包含一封圍 支撐基材之基 之上方。該氣 檔板包含一用 6 1343593 以自該本體向外與朝向該封圍件側壁傳送氣體之上表面與 一位於該上表面對面且與該基材支撐有一空間間隔之下表 面。該下表面有一中央部件與一藉階梯表面分隔中央部件 的凹陷圓周部件。該本體更包含一氣體進口 、複數個配置 於該階梯表面之氣體進口以及一連結該進口與該複數個氣 體出口之氣體通道。 【實施方式】
本發明提供包含一引進氣體進入腔室的方法與一處理 半導體的裝置之技術。更明確而言,本發明之實施例係應 用於提高在半導體處理腔室之製程氣體到基材之上的均勻 度。
第1圖為一用於半導體製程之習知氣體散佈器之代表 圖。第1圖圖示了 一氣體散佈器100,係具有一氣體轉向 表面(deflecting surface)102與一氣體散佈表面104。氣體 轉向表面1 02在腔室潔淨製程内提供潔淨氣體一通道。潔 淨氣體藉氣體轉向表面102引導至該腔室壁上,而非位於 該氣體散佈器正下方之該基材支撐構件(未被圖示出)。該 氣體散佈器1 〇 〇以一近側部件1 〇 6連結於一腔室壁。一沉 積氣體可藉由該近側末端108提供給該氣體散佈器100。 一組孔洞1 1 0係配置於該氣體散佈表面1 0 4,用在化學氣 相沉積製程中傳送沉積氣體。 第2圖為根據本發明之一實施例的氣體散佈器之代表 圖。此圖僅只是一個範例,在此並不過度限制該專利範圍。 7 1343593
本領域中具有通常知識者應可領會其他變化 代技術。如圖示,本發明提供一氣體散佈器 一氣體進入半導體處理腔室中。氣體散佈器 適合材質製造,例如:三氧化二鋁' 氮化鋁 石英、藍寶石等等。在此範例中,氣體散佈 一構件。 氣體散佈器200有一氣體轉向表面202 表面2 04。氣體轉向表面2 02在腔室潔淨製 道給潔淨氣體。潔淨氣體並非藉由該氣體散 該基材支撐構件(未被圖示出)引導至該腔 體散佈器2 0 0以一近側部件2 0 6連結於一腔 氣相沉積製程中,一沉積氣體可藉由該近側 給該氣體散佈器200。此沉積氣體流過氣體1 孔洞2 1 0離去,並通往位於該基材支撐構件 如第2圖所示,孔洞2 1 0係配置於該氣荀 上之階梯2 1 2處(即一凸起表面)。P皆梯2 1 2 水平面,或更佳為一位於氣體散佈表面204 面並有一預定半徑。該半徑可介於約0.01 0 階梯212可有一介於約0.60吋至0.75吋之 有一介於約90度至15度之斜度。階梯212 佈器200之氣體散佈。尤其是該沉積氣體由 故,可被散佈至該基材支撐構件周圍之外。试 、修改以及替 200用以引進 2 00可由任何 、碳化ί夕、錯' 器200是為單 與一氣體散佈 程内提供一通 佈器正下方之 室壁上。該氣 室壁。在化學 末端208提供 故佈器200,由 之基材上。 艺散佈表面204 可形成一卵形 上的環形水平 寸至3,0 0吋。 垂直高度,且 可改善氣體散 於階梯2 1 2之 i少該階梯2 1 2 8 1343593
之斜度更可將該氣體朝向外側散佈。 在特定實施例中,氣體散佈器200可有4、! 多之孔洞2 1 0。這些孔洞2 1 0沿著階梯2 I 2之該 分散,或可經考量而分散於其上之一特殊部件處= 之配置與數目可為達成均勻分散沉積氣體於該基 定目的作調整。同樣地,孔洞2 1 0之該半徑也為月 該半徑可介於約0.005吋至0.250吋。在特定實 孔洞2 1 0之該半徑為0.0 6 0吋。 第3 Α圖(側視圖)與第3 Β圖(俯視圖)係 本發明之一實施例的氣體散佈器,其具有潔淨 3 1 4。於腔室潔淨製程期間,潔淨氣體通道3 1 4可 氣體之一部分通過氣體散佈器300至氣體散佈表 因此,氣體散佈表面3 0 4可以很快地變得更乾淨 定實施例中,有八個潔淨氣體通道 3 1 4。然而在 施例中,潔淨氣體通道3 1 4之數目可以被增加至 潔淨氣體通道3 14之半徑約介於0.06吋至0.25 該氣體散佈表面304可有效潔淨。 第4A圖為根據本發明之另一實施例的氣 40 0之代表圖。單件之氣體散佈器40 0有一氣體 402與一氣體散佈表面404。該氣體散佈器400以 件406連結於一腔室壁。在化學氣相沉積製程中 氣體可藉由該近側末端408提供給該氣體散佈器 沉積氣體流過氣體散佈器400,由孔洞4 1 0與進 6、8或更 圓周均勻 ,孔洞2 1 0 材上之特 _調整的。 施例中, 圖解根據 氣體通道 使該潔淨 面 304 ° 。在此特 一替代實 約50個。 11寸,以使 體散佈器 轉向表面 一近側部 ,一沉積 400 ° 此 料孔4 1 6 9 1343593
離去,且通往位於該基材支撐構件(未被圖示出)之基材 上。如第4B圖所示,孔洞410配置在該氣體散佈表面4〇4 上之階梯412處,而進料孔416則位於氣體散佈器4〇〇之 橫側端處。進料孔4 1 6可增加基材邊緣處的沈積以補償孔 洞4 1 0作更均勻的分佈。進料孔4 1 6與孔洞4丨〇之數目、 配置與周圍皆可為特定應用作調整。在一特定實施例中, 氣體散佈器400包含有八個進料孔416與四個孔洞41〇β 在其他實施例中,氣體散佈器400可具有進料孔416而沒 有孔洞410。或者’氣趙散佈器400可具有孔洞41〇而沒 有進料孔4 1 6。 第5 A-5 G圖為根據本發明之另一實施例的氣體散佈器 5〇〇之各種態樣的代表圖。氣體散佈器5〇0包含潔淨氣體 通道5丨4與兩階梯,階梯512(a)與階梯512(b)。位於氣體 散佈器500之階梯512(a)與階梯512(b)可各自併入一組孔
洞。階梯所增加的數目使得可進一步調整氣體散佈以達到 改善均勻度目的。階梯512(a)所包括之孔洞的數目與位置 可與階梯512(b)不同。例如:孔洞51〇(a)與孔洞51〇⑻並 未自該中點5 1 8沿半徑方向排成一直線。 第6圖為根據本發明之一實施例的三階梯氣體散佈器 6〇0之圖解。氣體散佈表面604包含有階梯612⑷·“),每 :階梯具有各自_組孔洞61〇⑷⑷。該三階梯提供三區 /可對更精細之氣趙散佈增加控制。it外由於每—孔洞之 :長度縮短’可減少孔洞612之該半徑用以改善散佈控 ’。在一特定實施例中’氣體散佈器600為兩件式。值得 10 1343593
注意的是,在本發明其他實施例中可包含有 多的階梯。 第7A圖與第7B圖為根據本發明之一實 佈器階梯之代表圖。在第7A圖中,一氣體 含一棱部件(tread portion)與一豎部件(riser 氣體散佈器表面上。該豎部件可垂直於稜部 一角度710。角度710約可介於90度至180 實施例中,角度7 1 0約為4 5度。位於該氣體 之一孔洞係配置於該階梯之該豎部件上。該 豎部件(讓該孔垂直於豎部件可使得製作該 準而沒太大誤差),或如第7B圖所示夾一角 720約可介於15度至120度。 第8圖為根據本發明之一實施例,一具 81 1之範例處理腔室系統的圖解。第8圖概 施例中的範例高密度電漿-化學氣相沉積系叙 構。該系統8 1 0包含一腔室8 1 3、一真空系胡 源系統 880A、一偏壓電漿系統 880B、一: 8 3 3,以及一遠端電漿潔淨系統8 5 0。 腔室813 —上部份包含一圓頂814係以 所製,例如:氧化鋁、氮化鋁、藍寶石、碳 一加熱板823與一冷板824係覆蓋並熱耦合 加熱板823與冷板824使得圓頂814之溫度 正負10度内,其範圍為100度至200度。圓 4、5、6或更 施例的氣體散 散佈器階梯包 portion)位於 件、或最好夾 度。在一特定 散佈器表面上 孔洞垂直於該 孔時得以更精 度720 。角度 有氣體散佈器 要圖解了一實 L 8 1 0之該結 :< 870、一電漿 氣體傳送系統 陶瓷介電材料 化*夕或石英。 至圓頂8 1 4。 控制得以介於 頂8 1 4界定出 11 1343593
電漿處理區域816之上邊界。電漿處理區域816底部 一基材上表面817與一基材支撐構件818作為邊界。 腔室813之下層部分包含一本體構件822,其可 腔室連結至該真空系統。基材支撐構件8 1 8之一基部件 係安裝於本體構件822上並與之形成一連續内部表面 材藉由機器葉片(未示於圖中)經由一移入與移出開口 示於圖中,位於該腔室一側)傳送進出腔室 813。升 (未示於圖中)在馬達(未示於圖中)的控制之下被 然後降下,以於上裝卸位置8 5 7處將基材由機器葉片 至下處理位置856(其中基材係置放於基材支撐構件8: 基材接收部件8 1 9上)。基材接收部件8 1 9包含一確保 材在基材處理中安全抵達基材支撐構件818之一靜電 8 2 0。在一較佳實施例中,基材支撐構件8 1 8係由氧化 鋁瓷材料所製成。 真空系統8 7 0包含一節流本體8 2 5,其可遮罩雙 的節流閥8 2 6且耦接至栅流閥8 2 7及渦輪分子泵8 2 8 得注意的是,節流本體8 2 5可使氣體流之阻礙降至最 並作對稱的抽吸。栅流閥8 2 7可隔絕渦輪分子泵8 2 8 流本體8 2 5,且可藉由當節流閥8 2 6為全開時限制該 流動能力的方式控制腔室壓力。該節流閥8 2 6、栅流閥 與渦輪分子泵828之安排可使腔室壓力正確且穩定的 在約1毫托爾至2毫托爾間。 則以 將該 82 1 。基 (未 降銷 升起 移動 1 8之 該基 夾盤 鋁或 葉式 。值 小, 與節 排氣 827 控制 12 1343593 氣體傳送系統833可自數個來源(834A-834E腔室)提 供氣體,以經由氣體傳送線 838(僅部分示於圖中)處理 該基材。如熟知此技術人士所了解,該實際用於此之來源 834A-834E與氣體傳送線838至腔室813之該實際連結, 會隨著腔室8 1 3内施行之該沉積與潔淨製程改變。氣體經 由一氣體環837與(或)氣體散佈器811被引入腔室813。
在一實施例中,第一與第二氣體源(834A與 834B)與 第一與第二氣流控制器(835A’與835B’)可將氣體經由氣體 傳送線8 3 8 (僅部分示於圖中)供應至氣體環8 3 7。氣體環 837有複數個氣體源喷嘴839(為說明之便僅圖示其一)其 提供一均勻氣體流於該基材上方。喷嘴長度喷嘴角度可被 改變,以適於一個別腔室之一特定製程裁縫該均勻輪廓與 氣體的效率使用。在一較佳實施例中,氣體環 8 3 7有1 2 個由氧化鋁陶瓷所製之氣體源喷嘴。
在一較佳實施例中,氣體環8 3 7也具有複數個氧化氣 體噴嘴8 40 (僅圖示其一),略短於氣體源噴嘴83 9並與其 共平面,且在一實施例中自充滿氣體之本體接收氣體。在 某些實施例中,在注入氣體於腔室8 1 3前並不希望混合來 源氣體與氧化氣體。在其他佳實施例中,在注入氣體於腔 室8 1 3前,氧化氣體與來源氣體可藉位於本體與氣體環間 充滿氣體之孔洞(未圖示)預混合。在一實施例中,第三、 第四與第五氣體源(834C、834D、834D’)與第三、第四氣 13 1343593 體流控制器(835C、835D’)可經由氣體傳送線838提供氣體 至本體空間。外加的閥如8 4 3 B (其他閥未被圖示)可關閉 由該流量控制器至該腔室之氣體。 在許多實施例令’會使用可燃有毒或是腐蝕性氣體, 故可能需要排除沉積後滯留於該氣體傳送線838之氣體。 這可藉由三向閥(例如:8 4 3 B)以隔絕腔室8 1 3與傳送線 838A且例如.排淨至真空前端線844的傳送線83 8a。如 第8圖所示,也可將其他相似的閥(像是843a、843C)併入 其他氣體傳送線。 再參照第8圖,腔室813也有—氣體散佈器8ιι與頂 部排氣846。氣體散佈器811與頂部排氣846允許獨立控 制氣體頂部與側邊的流動,其可改善薄膜均勻度以及使得 該薄膜沉積與摻雜參數為可微調。頂部排氣846為一圍燒 著Λ想散佈器8 1 1之環狀開口。根據本發明之—實施例, 氣體散佈器8 1 1包含複數個開口於階梯上,用以改善氣體 散佈。在一實施例中,第一氣體源834Α提供氣體給氣體 源噴嘴839與氣體散佈器8U。源頭喷嘴微功能電路835Α, 控制提供至氣體源噴嘴839之氣體量,頂部喷嘴微功能電 路835Α則控制提供至氣體散佈器811之氣體量。同樣地, 兩微功能電路83 5Β與8 3 5Β’可被用於控制至頂部排氣846 與氧化氣體喷嘴840之氧流量,係來自一單獨之氧氣來 源’例如:8 3 4 Β。供應至氣體散佈器8 1 1與頂部排氣8 4 6 之氣體,在該氣想引入腔室813前可被保持隔離,或是在 14 1343593 該氣體引入腔室8 1 3前可於頂部空間8 4 8被混合。亦可使 用相同氣體之獨立來源以提供該腔室不同部位。
系統控制器8 6 0可控制系統8 1 0操作。在一實施例中, 系統控制器8 6 0包含一記憶體8 6 2,例如:一硬碟機、一 軟碟機(未圖示)、一卡槽(未圖示)組成一信息處理機 861。該卡槽可能包含一工業用單板電腦(未圖示)、類比 與數位輸入/輸出卡(未圖示)、介面卡(未圖示)與步進 馬達控制器卡(未圖示)。該系統控制器遵照歐洲凡爾賽模 組標準(VME ),其定義了匯流排、卡槽與連接器尺寸與 種類。該歐洲凡爾赛模組標準也定義了匯流排結構,如一 1 6位元之資料匯流排與一 2 0位元之位址匯流排。系統控 制器860在一儲存於該硬碟機之電腦程式或經由其他電腦 程式的控制下操作。該電腦程式規範了 ,如:一特定製程 之該時間安排、氣體之混合、射頻功率大小與其他參數。 介於使用者與該系統控制器間之該介面,係經由一螢幕, 例如:一陰極射線管(CRT ),以及一枝光筆。
上述裝置與方法之安.排僅說明本發明該原理之應用, 更多其他實施例與變型可於不背離本發明之精神與專利保 護範圍提出。因此,本發明之範圍不應限定於上述,而應 參照附加申請專利範圍及其均等物的所有範圍。 【圖式簡單說明】 15 1343593 第1圊為一習知氣體散佈器之代表圖; 第2圖為根據本發明之一實施例的氣體散佈器之代表 園, 第3A圖與第3B圖為圖解根據本發明之一實施例的氣 體散佈器,其具有潔淨氣體通道; 第4A圖與第4B圖為根據本發明之另一實施例的氣體 散佈器之代表圖;
第5A圖、第5B圖、第5C圖、第5D圖、第5E圖、 第5F圖與第5G圖為根據本發明之另一實施例的氣體散佈 器之代表圖,其中圖示了各種態樣; 第6圖為圖解根據本發明之一實施例的三階梯氣體散 佈器; 第7A圖與第7B圖為根據本發明之一實施例的一階梯 氣體散佈器之代表圖;以及 第8圖為圖解根據本發明之一實施例的一具有氣體散 佈器之範例處理腔室。
【主要元件符號說明】 1 02氣體轉向表面 1 0 6近側部件 11 0 —組礼洞 202氣體轉向表面 2 0 6近側部件 2 1 0孔洞 1 00氣體散佈器 104氣體散佈表面 1 0 8近側末端 200氣體散佈器 204氣體散佈表面 2 0 8近側末端 16 1343593
2 12 階梯 304 氣體散佈表面 400 氣體散佈器 404 氣體散佈表面 408 近側末端 4 12 階梯 500 氣體散佈器 5 10 (b ) 孔洞 5 12 (b ) 階梯 5 18 中點 604 氣體散佈表面 6 10 (b ) 孔洞 6 12 (a) 階梯 6 12 (c ) 階梯 720 角度 積系 統 8 11 氣體散佈器 8 14 圓頂 8 17 基材上表面 8 19 基材接收部件 82 1 基部件 823 加熱板 825 節流本體 827 栅流閥 300 氣 體 散佈器 3 14 潔 淨 氣體通 道 402 氣 體 轉向表 面 406 近 側 部件 410 孔 洞 416 進 料 孔 5 10 (a ) 孔洞 512 (a ) 階梯 5 14 潔 淨 氣體通 道 600 三 階 梯氣體 散佈 器 610 (a ) 孔洞 610 (c ) 孔洞 612 (b ) 階梯 7 10 角 度 8 10 高 -密 度電漿-化 學 8 13 腔 室 8 16 電 漿 處理區 域 818 基 材 支撐構 件 820 靜 電 夾盤 822 本 體 構件 824 冷 板 826 節 流闊 828 渴 輪 分子泵 相沉 17 1343593
83 3氣體傳 834B氧氣: 83 5 A’源頭 8 3 5 B ’源頭 83 8氣體傳 8 3 9氣體源 843A-843C 846頂部排 85 0遠端電 85 7上裝卸 861信息處 8 70真空系 880B偏壓 送線 噴嘴 三向閥 氣 漿潔淨系統 位置 理機 統 電漿系統 送系統 834A第一氣體源 良源 8 3 5 A頂部喷嘴微功能電路 喷嘴微功能電路 8 3 5 B頂部噴嘴微功能電路 喷嘴微功能電路 8 3 7氣體環 8 3 8 A傳送線 840氧化氣體喷嘴 8 44前端線 848頂部空間 856下處理位置 8 6 0系統控制器 8 6 2記憶體 8 80A電漿源系統
18

Claims (1)

1343593 第今幻號藥年(>月修正 十、申請專利範圍: 打年曰修JE本 1. 一種用於一處理腔室之氣體散佈器,該氣體散佈器至少 包含: 一本難,包含一螺紋部分、一氣體散佈表面、以及 一在該螺紋部分和該氣體散佈表面之間延伸的中央部分, 其中該中央部分包括一内凹彎曲的氣體轉向表面,該氣體 轉向表面適以自該本體朝外排出氣體,且其中該氣體散佈 表面包括一連續表面,該連續表面有一中央平坦部件,以 及一藉一階梯表面自中央平坦部件分隔的凹陷圓周平坦部 件,其中該中央平坦部件從該氣體散佈表面的中心向該階 梯表面徑向延伸,該本體更包含一氣體進口、複數個配置 於該階梯表面之氣體出口以及一連結該氣體進口與該複數 個氣體出口之氣體通道。 2. 如申請專利範圍第1項所述之氣體散佈器,其中該氣體 散佈器為單件式。 3.如申請專利範圍第1項所述之氣體散佈器,其中該氣體 散佈器至少包含氧化鋁、氮化鋁、藍寶石與碳化矽其中之 4.如申請專利範圍第1項所述之氣體散佈器,其中該階梯 表面於該氣體散佈表面上形成一卵形(oval),且該複數個 氣體出口係環繞該階梯表面均勻配置。 19 1343593 5. 如申請專利範圍第4項所述之氣體散佈器,其中該卵形 在此為一圓圈。 6. 如申請專利範圍第1項所述之氣體散佈器,其中該複數 個氣體出口與該階梯表面約以相同角度傾斜。 7. 如申請專利範圍第1項所述之氣體散佈器,其中該内凹 彎曲之氣體轉向表面為曲面,以將一潔淨氣體導向該處理 腔室的一壁。 8. 如申請專利範圍第1項所述之氣體散佈器,其中該内凹 彎曲之氣體轉向表面為曲面,以將一潔淨氣體轉向引離一 基材支撐構件。 9. 如申請專利範圍第1項所述之氣體散佈器,其中該階梯 表面斜率約介於90度至180度。 10. 如申請專利範圍第1項所述之氣體散佈器,其中每一 該複數個氣體出口與一豎部件之間的夾角介於約 90度至 約20度的範圍。 11. 如申請專利範圍第1項所述之氣體散佈器,其更包含 至少一潔淨氣體通道,其延伸於該内凹彎曲之氣體轉向表 20 1343593 I 面與該氣體散佈表面之間。 12. 如申請專利範圍第1項所述之氣體散佈器,其中 數個氣體出口包含至少八個孔洞。 13. 如申請專利範圍第1項所述之氣體散佈器,其中 體散佈器更包含一第二階梯表面與至少複數個第二氣 口通過該第二階梯表面。 14. 一種基材處理腔室,該腔室至少包含: 一封圍件,係具有一頂部與一側壁; 一基材支撐件,係可支撐一基材; 一氣體散佈器,係位於該基材支撐件中央上方 氣體散佈器至少包含一本體,該本體包含一螺紋部分 氣體散佈表面、以及一在該螺紋部分和該氣體散佈表 間延伸的中央部分,其中該中央部分包括一内凹彆曲 體轉向表面,該氣體轉向表面適於自該本體朝外與朝 封圍件側壁引導氣體,且其中該氣體散佈表面包括一 表面,該連續表面有一中央平坦部件,以及一藉一階 面自該中央平坦部件分隔的凹陷圓周平坦部件,其中 央平坦部件從該氣體散佈表面的中心向該階梯表面徑 伸,該本體更包含一氣體進口、複數個配置於該階梯 之氣體出口以及一連結該氣體進口至該複數個氣體出 該複 該氣 體出 ,該 、 — 面之 的氣 向該 連續 梯表 該中 向延 表面 口之 21 1343593 氣體通道。 15. 如申請專利範圍第14項所述之基材處理腔室,其更包 含一經配置以圍繞該本體之一部分之頂部排氣,其界定出 一適於將氣體導向該内凹彎曲之氣體轉向表面的環狀氣體 通道》 16. 如申請專利範圍第14項所述之基材處理腔室,其中該 氣體散佈器為單件式。 17. 如申請專利範圍第14項所述之基材處理腔室,其中該 氣體散佈器至少包含氧化鋁、氮化鋁其中之一。 18. 如申請專利範圍第14項所述之基材處理腔室,其中每 一該複數個氣體出口與一豎部件間的夾角介於約 90度至 1 5度之範圍間。 22
TW095107650A 2005-03-07 2006-03-07 Gas baffle and distributor for semiconductor processing chamber TWI343593B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/075,527 US7722719B2 (en) 2005-03-07 2005-03-07 Gas baffle and distributor for semiconductor processing chamber

Publications (2)

Publication Number Publication Date
TW200636809A TW200636809A (en) 2006-10-16
TWI343593B true TWI343593B (en) 2011-06-11

Family

ID=36569974

Family Applications (1)

Application Number Title Priority Date Filing Date
TW095107650A TWI343593B (en) 2005-03-07 2006-03-07 Gas baffle and distributor for semiconductor processing chamber

Country Status (6)

Country Link
US (1) US7722719B2 (zh)
JP (1) JP4964223B2 (zh)
KR (1) KR100919538B1 (zh)
CN (1) CN101138065B (zh)
TW (1) TWI343593B (zh)
WO (1) WO2006096674A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI803032B (zh) * 2020-12-23 2023-05-21 大陸商中微半導體設備(上海)股份有限公司 等離子體處理裝置及其處理方法

Families Citing this family (43)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7510624B2 (en) * 2004-12-17 2009-03-31 Applied Materials, Inc. Self-cooling gas delivery apparatus under high vacuum for high density plasma applications
US7722719B2 (en) 2005-03-07 2010-05-25 Applied Materials, Inc. Gas baffle and distributor for semiconductor processing chamber
CN104073778B (zh) * 2006-10-24 2017-08-25 应用材料公司 用于原子层沉积的涡流室盖
US20080124944A1 (en) * 2006-11-28 2008-05-29 Applied Materials, Inc. Gas baffle and distributor for semiconductor processing chamber
US20080121177A1 (en) * 2006-11-28 2008-05-29 Applied Materials, Inc. Dual top gas feed through distributor for high density plasma chamber
US7740706B2 (en) * 2006-11-28 2010-06-22 Applied Materials, Inc. Gas baffle and distributor for semiconductor processing chamber
US7758698B2 (en) 2006-11-28 2010-07-20 Applied Materials, Inc. Dual top gas feed through distributor for high density plasma chamber
US9157152B2 (en) * 2007-03-29 2015-10-13 Tokyo Electron Limited Vapor deposition system
WO2009049020A2 (en) * 2007-10-11 2009-04-16 Valence Process Equipment, Inc. Chemical vapor deposition reactor
TWI364126B (en) * 2007-11-23 2012-05-11 Ind Tech Res Inst Plasma assisted apparatus for forming organic film
US8137463B2 (en) * 2007-12-19 2012-03-20 Applied Materials, Inc. Dual zone gas injection nozzle
KR100941073B1 (ko) * 2007-12-27 2010-02-09 세메스 주식회사 탑 노즐 및 기판 처리 장치
JP5223377B2 (ja) * 2008-02-29 2013-06-26 東京エレクトロン株式会社 プラズマ処理装置用の電極、プラズマ処理装置及びプラズマ処理方法
US20110159214A1 (en) * 2008-03-26 2011-06-30 Gt Solar, Incorporated Gold-coated polysilicon reactor system and method
TWI494458B (zh) * 2008-03-26 2015-08-01 Gtat Corp 在化學氣相沉積反應器中用於配氣之系統和方法
US9222172B2 (en) * 2008-08-20 2015-12-29 Applied Materials, Inc. Surface treated aluminum nitride baffle
US8753474B2 (en) * 2009-09-25 2014-06-17 Applied Materials, Inc. Method and apparatus for high efficiency gas dissociation in inductive couple plasma reactor
US20110256692A1 (en) * 2010-04-14 2011-10-20 Applied Materials, Inc. Multiple precursor concentric delivery showerhead
US10658161B2 (en) * 2010-10-15 2020-05-19 Applied Materials, Inc. Method and apparatus for reducing particle defects in plasma etch chambers
CN102965615A (zh) * 2011-08-30 2013-03-13 无锡华润上华科技有限公司 一种pvd加工中使用的腔体以及pvd加工方法
US9388494B2 (en) 2012-06-25 2016-07-12 Novellus Systems, Inc. Suppression of parasitic deposition in a substrate processing system by suppressing precursor flow and plasma outside of substrate region
US9399228B2 (en) * 2013-02-06 2016-07-26 Novellus Systems, Inc. Method and apparatus for purging and plasma suppression in a process chamber
US9536710B2 (en) * 2013-02-25 2017-01-03 Applied Materials, Inc. Tunable gas delivery assembly with internal diffuser and angular injection
CN107424901B (zh) * 2013-03-12 2019-06-11 应用材料公司 具有方位角与径向分布控制的多区域气体注入组件
CN104103483B (zh) * 2013-04-10 2016-08-31 北京北方微电子基地设备工艺研究中心有限责任公司 一种进气装置及等离子体加工设备
CN103266307A (zh) * 2013-05-22 2013-08-28 光垒光电科技(上海)有限公司 反应腔
US9275869B2 (en) * 2013-08-02 2016-03-01 Lam Research Corporation Fast-gas switching for etching
US11015244B2 (en) 2013-12-30 2021-05-25 Advanced Material Solutions, Llc Radiation shielding for a CVD reactor
US10450649B2 (en) 2014-01-29 2019-10-22 Gtat Corporation Reactor filament assembly with enhanced misalignment tolerance
JP5840268B1 (ja) * 2014-08-25 2016-01-06 株式会社日立国際電気 基板処理装置、半導体装置の製造方法および記録媒体
US9951421B2 (en) * 2014-12-10 2018-04-24 Lam Research Corporation Inlet for effective mixing and purging
US9865437B2 (en) * 2014-12-30 2018-01-09 Applied Materials, Inc. High conductance process kit
US9758868B1 (en) 2016-03-10 2017-09-12 Lam Research Corporation Plasma suppression behind a showerhead through the use of increased pressure
US10403474B2 (en) 2016-07-11 2019-09-03 Lam Research Corporation Collar, conical showerheads and/or top plates for reducing recirculation in a substrate processing system
CN106711066A (zh) * 2016-12-27 2017-05-24 武汉华星光电技术有限公司 干蚀刻反应设备及用于干蚀刻反应的气体喷嘴
US11521884B2 (en) * 2018-06-29 2022-12-06 Taiwan Semiconductor Manufacturing Co., Ltd. Electrostatic chuck sidewall gas curtain
KR102386545B1 (ko) * 2018-09-03 2022-04-15 주식회사 원익아이피에스 밸브 조립체 및 기판 처리 장치
JP7313269B2 (ja) * 2019-12-23 2023-07-24 東京エレクトロン株式会社 プラズマ処理装置
CN114075660B (zh) * 2020-08-14 2022-09-27 长鑫存储技术有限公司 喷淋头、化学气相沉积设备及其工作方法
US20220064797A1 (en) * 2020-09-02 2022-03-03 Applied Materials, Inc. Showerhead design to control stray deposition
CN114242551B (zh) * 2020-09-09 2023-12-08 中微半导体设备(上海)股份有限公司 进气组件及其等离子体处理装置
US20220307129A1 (en) * 2021-03-23 2022-09-29 Applied Materials, Inc. Cleaning assemblies for substrate processing chambers
US20240055230A1 (en) * 2022-08-15 2024-02-15 Applied Materials, Inc. System and method of cleaning process chamber components

Family Cites Families (64)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE2608417C3 (de) * 1976-03-01 1981-02-12 Degussa Ag, 6000 Frankfurt Verfahren und vorrichtung zur herstellung von russ
JPS5841658B2 (ja) 1979-06-15 1983-09-13 パイオニア株式会社 ドライエッチング装置
GB2125937B (en) * 1982-08-26 1986-06-25 Metal Box Plc Dispensing volatile liquids
US5188672A (en) * 1990-06-28 1993-02-23 Applied Materials, Inc. Reduction of particulate contaminants in chemical-vapor-deposition apparatus
US5865896A (en) * 1993-08-27 1999-02-02 Applied Materials, Inc. High density plasma CVD reactor with combined inductive and capacitive coupling
US5441568A (en) * 1994-07-15 1995-08-15 Applied Materials, Inc. Exhaust baffle for uniform gas flow pattern
US5643394A (en) 1994-09-16 1997-07-01 Applied Materials, Inc. Gas injection slit nozzle for a plasma process reactor
TW356554B (en) * 1995-10-23 1999-04-21 Watkins Johnson Co Gas injection system for semiconductor processing
US5772771A (en) 1995-12-13 1998-06-30 Applied Materials, Inc. Deposition chamber for improved deposition thickness uniformity
US6070551A (en) * 1996-05-13 2000-06-06 Applied Materials, Inc. Deposition chamber and method for depositing low dielectric constant films
US6013155A (en) * 1996-06-28 2000-01-11 Lam Research Corporation Gas injection system for plasma processing
US6170428B1 (en) * 1996-07-15 2001-01-09 Applied Materials, Inc. Symmetric tunable inductively coupled HDP-CVD reactor
US5804259A (en) * 1996-11-07 1998-09-08 Applied Materials, Inc. Method and apparatus for depositing a multilayered low dielectric constant film
JP3753526B2 (ja) * 1996-12-18 2006-03-08 サクラ精機株式会社 顕微鏡標本のカバーガラス貼着方法と装置
US5994662A (en) * 1997-05-29 1999-11-30 Applied Materials, Inc. Unique baffle to deflect remote plasma clean gases
US6083344A (en) * 1997-05-29 2000-07-04 Applied Materials, Inc. Multi-zone RF inductively coupled source configuration
US5937323A (en) * 1997-06-03 1999-08-10 Applied Materials, Inc. Sequencing of the recipe steps for the optimal low-k HDP-CVD processing
US6143078A (en) * 1998-11-13 2000-11-07 Applied Materials, Inc. Gas distribution system for a CVD processing chamber
JP3808245B2 (ja) * 1999-07-30 2006-08-09 京セラ株式会社 半導体製造用チャンバ構成部材
US6383964B1 (en) * 1998-11-27 2002-05-07 Kyocera Corporation Ceramic member resistant to halogen-plasma corrosion
KR100302609B1 (ko) * 1999-05-10 2001-09-13 김영환 온도가변 가스 분사 장치
DE19922355A1 (de) 1999-05-14 2000-11-23 Helmut Swars Katalysatorträgerkörper
US6413871B2 (en) * 1999-06-22 2002-07-02 Applied Materials, Inc. Nitrogen treatment of polished halogen-doped silicon glass
JP4487338B2 (ja) * 1999-08-31 2010-06-23 東京エレクトロン株式会社 成膜処理装置及び成膜処理方法
US6287643B1 (en) * 1999-09-30 2001-09-11 Novellus Systems, Inc. Apparatus and method for injecting and modifying gas concentration of a meta-stable or atomic species in a downstream plasma reactor
US6444039B1 (en) * 2000-03-07 2002-09-03 Simplus Systems Corporation Three-dimensional showerhead apparatus
AU2001288225A1 (en) * 2000-07-24 2002-02-05 The University Of Maryland College Park Spatially programmable microelectronics process equipment using segmented gas injection showerhead with exhaust gas recirculation
US6450117B1 (en) * 2000-08-07 2002-09-17 Applied Materials, Inc. Directing a flow of gas in a substrate processing chamber
US6302965B1 (en) * 2000-08-15 2001-10-16 Applied Materials, Inc. Dispersion plate for flowing vaporizes compounds used in chemical vapor deposition of films onto semiconductor surfaces
US6335288B1 (en) * 2000-08-24 2002-01-01 Applied Materials, Inc. Gas chemistry cycling to achieve high aspect ratio gapfill with HDP-CVD
DE10064944A1 (de) * 2000-09-22 2002-04-11 Aixtron Ag Verfahren zum Abscheiden von insbesondere kristallinen Schichten, Gaseinlassorgan sowie Vorrichtung zur Durchführung des Verfahrens
AU2001283944A1 (en) * 2000-09-22 2002-04-02 Aixtron Ag Gas inlet mechanism for cvd-method and device
US6596653B2 (en) * 2001-05-11 2003-07-22 Applied Materials, Inc. Hydrogen assisted undoped silicon oxide deposition process for HDP-CVD
US6740601B2 (en) * 2001-05-11 2004-05-25 Applied Materials Inc. HDP-CVD deposition process for filling high aspect ratio gaps
US6676760B2 (en) * 2001-08-16 2004-01-13 Appiled Materials, Inc. Process chamber having multiple gas distributors and method
JP2003100717A (ja) * 2001-09-21 2003-04-04 Tokyo Electron Ltd プラズマ処理装置
US20030070620A1 (en) * 2001-10-15 2003-04-17 Cooperberg David J. Tunable multi-zone gas injection system
DE10153463A1 (de) * 2001-10-30 2003-05-15 Aixtron Ag Verfahren und Vorrichtung zum Abscheiden insbesondere kristalliner Schichten auf insbesondere kristallinen Substraten
US20040060514A1 (en) * 2002-01-25 2004-04-01 Applied Materials, Inc. A Delaware Corporation Gas distribution showerhead
JP4074461B2 (ja) * 2002-02-06 2008-04-09 東京エレクトロン株式会社 成膜方法および成膜装置、半導体装置の製造方法
JP4292777B2 (ja) * 2002-06-17 2009-07-08 ソニー株式会社 薄膜形成装置
US6884296B2 (en) * 2002-08-23 2005-04-26 Micron Technology, Inc. Reactors having gas distributors and methods for depositing materials onto micro-device workpieces
US7141138B2 (en) * 2002-09-13 2006-11-28 Applied Materials, Inc. Gas delivery system for semiconductor processing
US20040231798A1 (en) * 2002-09-13 2004-11-25 Applied Materials, Inc. Gas delivery system for semiconductor processing
US7316761B2 (en) * 2003-02-03 2008-01-08 Applied Materials, Inc. Apparatus for uniformly etching a dielectric layer
US6989061B2 (en) * 2003-08-22 2006-01-24 Kastalon, Inc. Nozzle for use in rotational casting apparatus
US20060048707A1 (en) 2004-09-03 2006-03-09 Applied Materials, Inc. Anti-clogging nozzle for semiconductor processing
US20050218115A1 (en) * 2004-02-06 2005-10-06 Applied Materials, Inc. Anti-clogging nozzle for semiconductor processing
US7183227B1 (en) * 2004-07-01 2007-02-27 Applied Materials, Inc. Use of enhanced turbomolecular pump for gapfill deposition using high flows of low-mass fluent gas
US20060075967A1 (en) * 2004-10-12 2006-04-13 Applied Materials, Inc. Magnetic-field concentration in inductively coupled plasma reactors
US7510624B2 (en) * 2004-12-17 2009-03-31 Applied Materials, Inc. Self-cooling gas delivery apparatus under high vacuum for high density plasma applications
JP2007182360A (ja) * 2005-02-16 2007-07-19 Nissan Motor Co Ltd ウィスカー形成体及びこれを用いた電気化学キャパシタ
KR100854995B1 (ko) * 2005-03-02 2008-08-28 삼성전자주식회사 고밀도 플라즈마 화학 기상 증착 장치
US7722719B2 (en) 2005-03-07 2010-05-25 Applied Materials, Inc. Gas baffle and distributor for semiconductor processing chamber
JP2006310481A (ja) * 2005-04-27 2006-11-09 Elpida Memory Inc Cvd装置
CN100502106C (zh) * 2006-05-12 2009-06-17 盐光科技(嘉兴)有限公司 二次电池正极材料及制备方法
JP2007305890A (ja) * 2006-05-15 2007-11-22 Elpida Memory Inc 半導体製造装置
US20080124944A1 (en) * 2006-11-28 2008-05-29 Applied Materials, Inc. Gas baffle and distributor for semiconductor processing chamber
US7758698B2 (en) * 2006-11-28 2010-07-20 Applied Materials, Inc. Dual top gas feed through distributor for high density plasma chamber
US7740706B2 (en) * 2006-11-28 2010-06-22 Applied Materials, Inc. Gas baffle and distributor for semiconductor processing chamber
US20080121177A1 (en) * 2006-11-28 2008-05-29 Applied Materials, Inc. Dual top gas feed through distributor for high density plasma chamber
US20080188090A1 (en) * 2007-02-02 2008-08-07 Applied Materials, Inc. Internal balanced coil for inductively coupled high density plasma processing chamber
US7572647B2 (en) * 2007-02-02 2009-08-11 Applied Materials, Inc. Internal balanced coil for inductively coupled high density plasma processing chamber
US7789993B2 (en) * 2007-02-02 2010-09-07 Applied Materials, Inc. Internal balanced coil for inductively coupled high density plasma processing chamber

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI803032B (zh) * 2020-12-23 2023-05-21 大陸商中微半導體設備(上海)股份有限公司 等離子體處理裝置及其處理方法

Also Published As

Publication number Publication date
US20060196603A1 (en) 2006-09-07
CN101138065A (zh) 2008-03-05
JP4964223B2 (ja) 2012-06-27
TW200636809A (en) 2006-10-16
US7722719B2 (en) 2010-05-25
WO2006096674A1 (en) 2006-09-14
JP2008532331A (ja) 2008-08-14
KR20070110337A (ko) 2007-11-16
CN101138065B (zh) 2012-11-28
KR100919538B1 (ko) 2009-10-01

Similar Documents

Publication Publication Date Title
TWI343593B (en) Gas baffle and distributor for semiconductor processing chamber
TWI662640B (zh) 氣體供應單元及包括氣體供應單元的基板處理裝置
US6251187B1 (en) Gas distribution in deposition chambers
US7452827B2 (en) Gas distribution showerhead featuring exhaust apertures
US5895530A (en) Method and apparatus for directing fluid through a semiconductor processing chamber
TWI498988B (zh) A gas supply device, a film forming apparatus, and a film forming method
TW201702422A (zh) 氣流控制裝置、噴頭組件及半導體製造設備
TW200834783A (en) Gas baffle and distributor for semiconductor processing chamber
KR20110053360A (ko) 표면 처리된 알루미늄 질화물 배플
CN106463344A (zh) 喷头设计
KR20010014782A (ko) 반도체 처리 시스템의 매엽식 처리 장치
US20050126484A1 (en) Edge flow faceplate for improvement of CVD film properties
EP1063690A1 (en) Plasma processing apparatus and plasma processing method
US20210032753A1 (en) Methods and apparatus for dual channel showerheads
US20180258531A1 (en) Diffuser design for flowable cvd
TW200933798A (en) Apparatus and method for processing a substrate using inductively coupled plasma technology
US6828246B2 (en) Gas delivering device
KR20230024385A (ko) 반도체 프로세싱 챔버를 위한 비대칭 배기 펌핑 플레이트 설계
TWI700388B (zh) 用於可流動式cvd的雙遠端電漿源的集成
JP3485505B2 (ja) 処理装置
JP4149694B2 (ja) 半導体製造装置及び半導体製造方法
JP4773469B2 (ja) 薄膜形成装置及び薄膜形成方法
CN110846636A (zh) 用于处理腔室的涂覆材料
US20240110278A1 (en) Dog bone exhaust slit tunnel for processing chambers
TW202310684A (zh) 具有電感耦合電漿源的反應器