TW580735B - Plasma treatment apparatus and treating method of sample material - Google Patents
Plasma treatment apparatus and treating method of sample material Download PDFInfo
- Publication number
- TW580735B TW580735B TW090103833A TW90103833A TW580735B TW 580735 B TW580735 B TW 580735B TW 090103833 A TW090103833 A TW 090103833A TW 90103833 A TW90103833 A TW 90103833A TW 580735 B TW580735 B TW 580735B
- Authority
- TW
- Taiwan
- Prior art keywords
- plasma
- sample
- processing chamber
- hole
- patent application
- Prior art date
Links
- 238000000034 method Methods 0.000 title claims abstract description 52
- 238000009832 plasma treatment Methods 0.000 title claims abstract description 10
- 239000000523 sample Substances 0.000 title abstract 10
- 238000012545 processing Methods 0.000 claims abstract description 148
- 230000007246 mechanism Effects 0.000 claims abstract description 62
- 230000003287 optical effect Effects 0.000 claims abstract description 58
- 230000008569 process Effects 0.000 claims abstract description 34
- 230000005540 biological transmission Effects 0.000 claims description 35
- 238000005259 measurement Methods 0.000 claims description 32
- 238000011049 filling Methods 0.000 claims description 31
- 230000002079 cooperative effect Effects 0.000 claims description 20
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N silicon dioxide Inorganic materials O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 claims description 18
- 230000008859 change Effects 0.000 claims description 17
- 239000010453 quartz Substances 0.000 claims description 16
- 238000007789 sealing Methods 0.000 claims description 8
- 229910052710 silicon Inorganic materials 0.000 claims description 7
- 239000010703 silicon Substances 0.000 claims description 7
- 229910052594 sapphire Inorganic materials 0.000 claims description 6
- 239000010980 sapphire Substances 0.000 claims description 6
- 230000000149 penetrating effect Effects 0.000 claims description 3
- 238000003672 processing method Methods 0.000 claims description 3
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 claims description 2
- 229910052799 carbon Inorganic materials 0.000 claims description 2
- PCTMTFRHKVHKIS-BMFZQQSSSA-N (1s,3r,4e,6e,8e,10e,12e,14e,16e,18s,19r,20r,21s,25r,27r,30r,31r,33s,35r,37s,38r)-3-[(2r,3s,4s,5s,6r)-4-amino-3,5-dihydroxy-6-methyloxan-2-yl]oxy-19,25,27,30,31,33,35,37-octahydroxy-18,20,21-trimethyl-23-oxo-22,39-dioxabicyclo[33.3.1]nonatriaconta-4,6,8,10 Chemical compound C1C=C2C[C@@H](OS(O)(=O)=O)CC[C@]2(C)[C@@H]2[C@@H]1[C@@H]1CC[C@H]([C@H](C)CCCC(C)C)[C@@]1(C)CC2.O[C@H]1[C@@H](N)[C@H](O)[C@@H](C)O[C@H]1O[C@H]1/C=C/C=C/C=C/C=C/C=C/C=C/C=C/[C@H](C)[C@@H](O)[C@@H](C)[C@H](C)OC(=O)C[C@H](O)C[C@H](O)CC[C@@H](O)[C@H](O)C[C@H](O)C[C@](O)(C[C@H](O)[C@H]2C(O)=O)O[C@H]2C1 PCTMTFRHKVHKIS-BMFZQQSSSA-N 0.000 claims 1
- 239000008267 milk Substances 0.000 claims 1
- 210000004080 milk Anatomy 0.000 claims 1
- 235000013336 milk Nutrition 0.000 claims 1
- 238000009489 vacuum treatment Methods 0.000 abstract 1
- 239000007789 gas Substances 0.000 description 37
- 238000005530 etching Methods 0.000 description 32
- 239000010408 film Substances 0.000 description 19
- 230000002159 abnormal effect Effects 0.000 description 14
- 239000007795 chemical reaction product Substances 0.000 description 12
- 239000004020 conductor Substances 0.000 description 12
- 238000010586 diagram Methods 0.000 description 12
- 239000007787 solid Substances 0.000 description 11
- 239000000463 material Substances 0.000 description 10
- 238000001514 detection method Methods 0.000 description 9
- 230000015572 biosynthetic process Effects 0.000 description 7
- 238000001020 plasma etching Methods 0.000 description 7
- 238000002834 transmittance Methods 0.000 description 7
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 6
- 230000005856 abnormality Effects 0.000 description 6
- 150000002500 ions Chemical class 0.000 description 6
- 238000012360 testing method Methods 0.000 description 6
- 230000000875 corresponding effect Effects 0.000 description 5
- 230000007423 decrease Effects 0.000 description 5
- 238000009434 installation Methods 0.000 description 5
- 239000013307 optical fiber Substances 0.000 description 5
- 230000035945 sensitivity Effects 0.000 description 5
- 229910052782 aluminium Inorganic materials 0.000 description 4
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 4
- 238000006243 chemical reaction Methods 0.000 description 4
- 230000000694 effects Effects 0.000 description 4
- 238000002474 experimental method Methods 0.000 description 4
- 238000004544 sputter deposition Methods 0.000 description 4
- 239000010409 thin film Substances 0.000 description 4
- 229910052770 Uranium Inorganic materials 0.000 description 3
- 238000004140 cleaning Methods 0.000 description 3
- 238000011109 contamination Methods 0.000 description 3
- 238000003745 diagnosis Methods 0.000 description 3
- 238000009826 distribution Methods 0.000 description 3
- 238000001914 filtration Methods 0.000 description 3
- 230000001939 inductive effect Effects 0.000 description 3
- 238000004020 luminiscence type Methods 0.000 description 3
- 238000004519 manufacturing process Methods 0.000 description 3
- 230000005855 radiation Effects 0.000 description 3
- 239000004065 semiconductor Substances 0.000 description 3
- JFALSRSLKYAFGM-UHFFFAOYSA-N uranium(0) Chemical compound [U] JFALSRSLKYAFGM-UHFFFAOYSA-N 0.000 description 3
- 238000009825 accumulation Methods 0.000 description 2
- 230000008901 benefit Effects 0.000 description 2
- 238000004364 calculation method Methods 0.000 description 2
- 238000010168 coupling process Methods 0.000 description 2
- 230000001965 increasing effect Effects 0.000 description 2
- 239000012212 insulator Substances 0.000 description 2
- 238000011068 loading method Methods 0.000 description 2
- 230000007774 longterm Effects 0.000 description 2
- 229910052751 metal Inorganic materials 0.000 description 2
- 239000002184 metal Substances 0.000 description 2
- 238000002156 mixing Methods 0.000 description 2
- 238000012544 monitoring process Methods 0.000 description 2
- 230000002093 peripheral effect Effects 0.000 description 2
- 238000012552 review Methods 0.000 description 2
- 238000001179 sorption measurement Methods 0.000 description 2
- 239000000758 substrate Substances 0.000 description 2
- 239000002028 Biomass Substances 0.000 description 1
- PNEYBMLMFCGWSK-UHFFFAOYSA-N aluminium oxide Inorganic materials [O-2].[O-2].[O-2].[Al+3].[Al+3] PNEYBMLMFCGWSK-UHFFFAOYSA-N 0.000 description 1
- 238000004380 ashing Methods 0.000 description 1
- 229910001423 beryllium ion Inorganic materials 0.000 description 1
- 239000003990 capacitor Substances 0.000 description 1
- 238000004891 communication Methods 0.000 description 1
- 238000010411 cooking Methods 0.000 description 1
- 239000013256 coordination polymer Substances 0.000 description 1
- 230000008878 coupling Effects 0.000 description 1
- 238000005859 coupling reaction Methods 0.000 description 1
- 239000013078 crystal Substances 0.000 description 1
- 238000000151 deposition Methods 0.000 description 1
- 230000008021 deposition Effects 0.000 description 1
- 238000013461 design Methods 0.000 description 1
- 238000010494 dissociation reaction Methods 0.000 description 1
- 230000005593 dissociations Effects 0.000 description 1
- 230000005684 electric field Effects 0.000 description 1
- 230000005611 electricity Effects 0.000 description 1
- 238000005516 engineering process Methods 0.000 description 1
- 230000006698 induction Effects 0.000 description 1
- 238000007689 inspection Methods 0.000 description 1
- 238000009413 insulation Methods 0.000 description 1
- 230000003993 interaction Effects 0.000 description 1
- JEIPFZHSYJVQDO-UHFFFAOYSA-N iron(III) oxide Inorganic materials O=[Fe]O[Fe]=O JEIPFZHSYJVQDO-UHFFFAOYSA-N 0.000 description 1
- 239000004973 liquid crystal related substance Substances 0.000 description 1
- NJPPVKZQTLUDBO-UHFFFAOYSA-N novaluron Chemical compound C1=C(Cl)C(OC(F)(F)C(OC(F)(F)F)F)=CC=C1NC(=O)NC(=O)C1=C(F)C=CC=C1F NJPPVKZQTLUDBO-UHFFFAOYSA-N 0.000 description 1
- 230000035515 penetration Effects 0.000 description 1
- 239000011148 porous material Substances 0.000 description 1
- 238000010248 power generation Methods 0.000 description 1
- 230000000717 retained effect Effects 0.000 description 1
- 102220047090 rs6152 Human genes 0.000 description 1
- 239000004576 sand Substances 0.000 description 1
- 238000011896 sensitive detection Methods 0.000 description 1
- 229910052814 silicon oxide Inorganic materials 0.000 description 1
- 239000002002 slurry Substances 0.000 description 1
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/302—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
- H01L21/306—Chemical or electrical treatment, e.g. electrolytic etching
- H01L21/3065—Plasma etching; Reactive-ion etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67017—Apparatus for fluid treatment
- H01L21/67063—Apparatus for fluid treatment for etching
- H01L21/67069—Apparatus for fluid treatment for etching for drying etching
-
- G—PHYSICS
- G01—MEASURING; TESTING
- G01N—INVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
- G01N21/00—Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
- G01N21/62—Systems in which the material investigated is excited whereby it emits light or causes a change in wavelength of the incident light
- G01N21/66—Systems in which the material investigated is excited whereby it emits light or causes a change in wavelength of the incident light electrically excited, e.g. electroluminescence
- G01N21/68—Systems in which the material investigated is excited whereby it emits light or causes a change in wavelength of the incident light electrically excited, e.g. electroluminescence using high frequency electric fields
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32009—Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
- H01J37/32082—Radio frequency generated discharge
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32917—Plasma diagnostics
- H01J37/32935—Monitoring and controlling tubes by information coming from the object and/or discharge
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32917—Plasma diagnostics
- H01J37/32935—Monitoring and controlling tubes by information coming from the object and/or discharge
- H01J37/32972—Spectral analysis
Landscapes
- Physics & Mathematics (AREA)
- Engineering & Computer Science (AREA)
- Chemical & Material Sciences (AREA)
- Analytical Chemistry (AREA)
- Plasma & Fusion (AREA)
- General Physics & Mathematics (AREA)
- Health & Medical Sciences (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Computer Hardware Design (AREA)
- Manufacturing & Machinery (AREA)
- Power Engineering (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- Pathology (AREA)
- Biochemistry (AREA)
- Immunology (AREA)
- Nuclear Medicine, Radiotherapy & Molecular Imaging (AREA)
- Life Sciences & Earth Sciences (AREA)
- General Health & Medical Sciences (AREA)
- Spectroscopy & Molecular Physics (AREA)
- Drying Of Semiconductors (AREA)
- Plasma Technology (AREA)
Description
580735 經濟部智慧財產局員工消費合作社印製 A7 B7 五、發明説明(彳) (發明的背景) (發明之領域) 本發明係有關於電漿(等離子)處理裝置及試料 理方法,特別是有關於在於半導體製程上之形成微細;^圖 樣上合宜之電漿處理裝置及試料之處理方法。特別是有II 於計測處理室內之電漿之發光及晶圓等之試料表面之_月莫 之狀態之裝置及試料之處理方法。 (有關之背景技術) 在於光導體製程中,在於触刻,成膜,灰化等之微細 化製程中廣泛地採用電漿處理裝置。在於電漿處理裝置係 以電漿發生機構而使導入於真空處理室(反應室)之內部 之處理氣體而使之電漿化(等離子化),在於半導體晶圓 表面使之反應而實施微細之孔及溝等之加工或成膜等之處 理,同時施予揮發性之反應生成物之排氣以資實施規定之 處理者。 在此種電漿處理裝置中,現在已有藉由檢出從處理中 之電漿之發光以資檢出蝕刻處理之終點。或以現時點地測 定在於電漿發光之晶圓表面之薄膜之反射光或干涉訊號等 之膜厚或蝕刻,成膜之速度(比率)以資實施電漿處理之 精度者。 例如在於日本專利公報特開平5 - 1 3 6 0 9 8號記 述有:在於平行平板型電漿蝕刻裝置中,在於面向於晶圓 之電極面上設置二個以上之電漿受光感測器,而從晶圓上 ---------^------、訂------0 (請先閲讀背面之注意事項再填寫本頁) 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) -4- 580735 經濟部智慧財產局員工消費合作社印製 A7 ________B7_五、發明説明(2) 之複數點之電漿發光強度而獲得關於蝕刻速度(比例)或 膜厚之均一性或關於分佈之資訊而使電漿密度均一化之方 法。 又同專利公報特開平3 - 1 4 8 1 1 8號公報乃揭示 有,在於平行平板型電漿蝕刻裝置中,貫穿上部平板電極 地從上方將雷射光照射於晶圓,而由反射體來測定蝕刻量 以資檢出終點之裝置中,爲了防止上部電極之污染起見, 在於石英製之電極蓋之雷射光之通過之部份,形成1 0 0mm程度之孔,由而雖然電極蓋之受污染之下,不致於 雷射光所減衰地正確地測定蝕刻量,由而可以安定的實施 終點檢出之方法。 惟,在於上述之方法中,有下述之課題。 首先,晶圓表面之薄膜等之狀態之監視係從面向於晶 圓之上方或到4 5度程度爲止之從斜上方來計測爲合宜。 惟以此方法所可能計測之電漿處理裝置乃該方式或構造將 受限制。例如在於微波E C R方式或感應結合方式之電漿 處理裝置乃,爲了對於處理室內放射微波或導入感應電場 起見,有時在於晶圓之上方設置石英製之透明之窗或板之 情形。 惟,由於電容結合式之所謂平行平板型之電漿處理裝 置乃,由於面向於晶圓之上部電極係鋁等之導電性之金屬 ,所以並不成爲可以直接透視晶圓表面之構造,因此爲了 計測晶圓表面係,如日本專利公報特開平5- 1 3 609 8號所 述須在於面向於晶圓之電極面設置電漿受光感測器才行。 (請先閲讀背面之注意事項再填寫本頁) .裝· 訂 線 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) -5- 580735 經濟部智慧財產局員工消費合作社印製
A7 _ B7五、發明説明(3) 惟,在於一再放電之結果,在於電漿受光感測器上將堆積 反應生成物,所以很難以長時間地實施安定之計測者。 企圖解決此問題者乃,記述於同公報特開平3 -1 4 8 1 1 8號之方法。此乃,直接曝曬於電漿之石英製 之電極蓋之雷射光之通過之計測部份形成1 〇 m m 0程度 之孔,由而使之在於石英蓋表面有堆積膜之附著之下,仍 然不影響計測者。惟實際上依此方法亦仍然很難實施安定 之計測。 按爲了獲得電漿處理上所必要之規定之電漿密度起見 ,在於上部電極將賦加數kW之大電力之高週波電力,所 以在於電極或電極蓋上形成如上述公報所述之1 〇 0 mm 程度之孔時,即在孔之部份引起局部的異常放電,或電漿 侵入於孔之內部而使上部電極或電極蓋受損。又對於上部 電極將賦加偏壓,所以通過電極蓋之孔而上部電極係由電 漿中之離子而被濺射,惟上部電極係以鋁等之金屬所形成 ,因此成爲損傷或發生異物之要因等等問題亦存在。 當然不是從面向於晶圓之上方,而以處理室之側壁而 以很淺的角度來計測晶圓表面乃原理上係可能者。惟特別 是在於氧化膜蝕刻裝置乃,爲了抑制處理氣體之過剩之解 離或提高程序之再現性起見採取,與試料面地在於隔著數 1 0 m m程度之距離之位置,而向矽等之平板地予以設置 之面向平板型之構造者爲多。此時對於晶圓之計測角度係 現實的不得不成爲1 0度程度,很難充分的獲得計測精度 ,因此在於面向平板型之電漿處理裝置中也被希望得從面 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) Z (請先閲讀背面之注意事項再填寫本頁) •裝.
、1T 線 經濟部智慧財產局員工消費合作社印製 580735 A7 ___B7_ 五、發明説明(4 ) 向於晶圓之上方來計測晶圓表面之狀態之方法。 又,於前面在於微波E C R方式或感應結合方式之電 漿處理裝置中,述明,從晶圓上方之石英製之透明窗而可 能實施晶圓表面之計測,惟實際上在經過多次之放電而在 石英製之窗上會附著反應生成物,致使透過率降低,相反 地表面之被蝕刻而呈粗糙,因此仍然具有無法達到長期之 安定之計測,並非實用者。 (發明之槪說) 本發明乃爲了解決上述之課題所創作者,其目的係在 於提供一種,從真空處理室之外部高精度地且不發生異常 放電或異物,即使重複裝時間之放電,也可抑制透過率降 低,而長期的可以安定地進行計測試料面及電漿之狀態或 真空處理室之壁面之狀態的電漿處理裝置及試料之處理方 法。 、 本發明等,對於上述之課題,針對於實用性及可靠性 之觀點而經過多次之檢討尋出了下面之解決方法。 本發明乃,對於真空處理室內供給處理氣體,由電漿 發生裝置而發生電漿,藉由該電漿來電漿處理載置於試料 台上之試料之電漿處理裝置中,其特徵爲,具備:配置於 面向於配置在上述真空處理室的該處理室內的上述試料之 位置,深度/直徑之比爲5以上1 0 0以內之至少1個以 上之貫穿孔,及介著該貫穿孔來計測來自上述試料之表面 狀態之光學資訊之計測機構者。 裝 訂 線 (請先閲讀背面之注意事項再填寫本頁) 本纸張尺度適用中.國國家標準(CNS ) A4規格(210X297公釐) -7 - 580735 經濟部智慧財產局員工消費合作社印製 A7 __ B7五、發明説明(5) 本發明之另一特徵乃對於真空處理室內供給處理氣體 ’由電漿發生裝置而發生電漿,藉由該電漿來電漿處理載 置於試料台上之試料之電漿處理裝置中,其特徵爲,具備 ••配置於上述真空處理室內的試料,及形成於上述真空處 理室內之面向於試料之位置之深度/直徑之比爲5以上 1 〇 0以內之至少1個以上之貫穿孔,及以其一方之端面 之大致上接觸於上述構造體地設置於該貫穿孔之背面之光 傳送體,及配置於該光傳送體之其他端面之光學傳送機構 ’以及介著該光傳送體及該光學傳送機構,以資計測反映 上述試料之表面狀態之光學資訊機構者。 本發明之其他特徵乃將上述貫穿孔之大小定爲直徑 0 · 10mm以上,5mm办以下,最好係直徑〇 · 3 mm0以上2mm0以上者。 本發明之另一其他特徴乃形成複數個之上述貫穿孔, 而令對於該形成複數個之貫穿孔之領域之全面積之該貫穿 孔之開口面積之總和爲5 %〜5 0 %者。 本發明之又其他特徴乃採用石英及藍寶石爲上述光傳 送體。 本發明之另一特徴係,做成在於上述真空處理室之大 氣開放時,只卸下固定上述光傳送體之1組保持機構及真 空封止機構而可以使該光傳送體容易更換之構造者。 本發明之又一特徵乃,對於真空處理室內供給處理氣 體,由電漿發生裝置而發生電漿,藉由該電漿來電漿處理 載置於試料台上之試料之電漿處理裝置中,其特徵爲,具 (請先閱讀背面之注意事項再填寫本頁) 裝· 訂 線· 本紙張尺度適用中.國國家標準(CNS ) A4規格(210X297公釐) -8- 580735 A7 B7 五、發明説明(6) ---------裝-- (請先閲讀背面之注意事項再填寫本頁) 備:配置於上述真空處理室內的試料,及形成於上述真空 處理室內之面向於試料之位置之深度/直徑之比爲5以上 1 0 0以內之至少1個以上之貫穿孔,及介著該貫穿孔來 計測反映上述試料之表面狀態之光學資料之機構,及依據 上述光學資訊之變動來鑑定異物之發生狀況之機構者。 本發明之又一其他特徴乃對於真空處理室內供給處理 氣體’由電漿發生裝置而發生電漿,藉由該電漿來電漿處 理載置於試料台上之試料之電漿處理裝置中,其特徵爲, 具備:配置於上述真空處理室內的試料,及形成於上述真 空處理室內之面向於試料之位置之深度/直徑之比爲5以 上1 0 0以內之至少1個以上之貫穿孔,及介著該貫穿孔 來計測反映上述試料之表面狀態之光學資料之機構,及依 據上述光學資訊之變動而鑑定上述構造體之消耗狀況之機 構者。 -線· 經濟部智慧財產局員工消費合作社印製 又,本發明之又一其他特徵乃,對於真空處理室內供 給處理氣體,由電漿處理裝置而發生電漿,藉由該電漿來 實施載置於試料台上之試料之試料之處理方法中,介著形 成於上述真空處理室之面向於上述試料之位置之上述真空 處理室之壁之,深度/直徑之比爲5以上,1 〇 〇以內之 至少一個以上之貫穿孔來計測該反映上述試料之表面狀態 之光學資訊,而依據上述光學資訊之變動,一面計測上述 試料表面之薄膜狀態一面實施上述試料之處理也。 依本發明時,由於具備有:配置於上述真空處理室內 的試料,及形成於上述真空處理室內之面向於試料之位置 -9- 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) 經濟部智慧財產局員工消費合作社印製 580735 A7 B7 五、發明説明(7) 之深度/直徑(縱橫)之比爲5以上1 0 〇以內之至少1 個以上之貫穿孔,及介著該貫穿孔來計測反映上述試料之 表面狀態之光學資料之機構者,所以在經過長時間之重覆 之放電也不會由於光傳送體之端面附著反應生成物致使光 透過性之降低也。 又由於貫穿孔之直徑小,且縱橫比大,因此不會有電 漿侵入於貫穿孔之內部而發生異常放電之情形。 再者做爲光傳送體而採用光透過特性優異且耐電漿性 高之石英或藍寶石,由而可以使由光傳送體之端面之損傷 所致之光學的性能之降低,所以可以長時間地實施安定之 計測。 又密集地配置複數之貫穿孔,使開口率爲5%〜5 0 %由而以充分之感度及精度來計測試料表面或電漿發光。 又使光傳送體造成很容易更換之構造,由而可以使電 漿處理裝置之濕式洗淨時之停機時間縮小至最小限度,所 以不致使電漿處理裝置之操作率降低。 再者當,成爲異物之原因之基座周圍或堆積於處理室 之側壁之反應生成物之從反射體而剝離時,從反射體之光 量會改變,而以檢出此變動而可以發出防止異物發生之多 發之警告。由此警告而決定適宜之全掃時期,未然的可以 防止運用裝置時之異常者。 又由監視以設於板之貫穿孔所計測之發光量來檢知板 之消耗,由而可以未然的防止運用裝置時之異常者。 ---------装------1T------^ (請先閱讀背面之注意事項再填寫本頁) 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) -10- 580735 經濟部智慧財產局員工消費合作社印製 A 7 B7 五、發明説明(8 ) (合宜之實施形態之詳細說明) 下面依圖說明本發明之實施例。 第1圖係表示將本發明適用於有磁場U H F帶電磁波 放射放電方式之電漿蝕刻裝置之實施例,係該電漿蝕刻裝 置之斷面模式圖。 第1圖中,處理室1 00乃可達成1 0 一 6To r r 程度之真空度之真空容器,而在其上面備有做爲電漿發生 手段之放射電磁波之天線1 1 0,在其下部備有載置晶圓 等之試料W之下部電極1 3 0。天線1 1 0與下部電極係 以平行地面向之形態地被設置。於處理室1 0 0之周圍, 設置例如電磁線圈及軛所成之磁場形成機構1 0 1。由而 形成具有規定之分佈及強度之磁場。並且藉由從天線 1 1 0所放射之電磁波與磁場形成機構1 0 1所形成之磁 場之相互作用而使導入於處理室內部之處理氣體予以電漿 化而發生電漿P,以資處理下部電極1 3 0上之試料W。 處理室1 0 0乃由連接於真空室1 0 3之真空排氣系 1 0 4及壓力控制機構1 0 5來實施真空排氣及壓力調整 ,而將內部之壓力控制爲例如0 . 5 P a以上,4 P a以 下程度之規定値。處理室1 0 0及真空室1 0 3係成電接 地電位。處理室1 0 0之側壁1 0 2係由不圖示之溫度控 制機構而調溫爲例如5 0 t程度。 放射電磁波之天線1 1 0係由圓板狀導電體1 1 1 , 介電體1 1 2,介電體環1 1 3所構成。做爲真空容器之 一剖份地保持於殼體1 1 4。又,圓板狀導電體1 1 1之 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) -11 - 裝 訂 線 (請先閲讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 580735 A7 ___ _B7_ 五、發明説明(9) 接臨於電漿側之面上將設置構造體,詳述之形成有板 115° 實施試料之蝕刻,成膜等之處理之處理氣體係,從氣 體供給機構1 1 6而以規定之流量及混合比而被供給,於 圓板狀導電體1 1 1之內部而被均一化,而通經設於板 1 1 5之多數之孔而供給於處理室1 〇 〇。圓板狀導電體
1 1 1係以不圖示之溫度控制機構而將調溫爲例如3 0 °C 〇 對於處理室1 1 0乃介著導入端子1 2 6而連接由天 線電源1 2 1 ,天線偏壓電源1 2 3,及匹配電路•濾波 系122,124,125所成之天線電源系120。天 線電源1 2 1係最好供給3 0 0 Μ Η z至9 0 0 Μ Η z之 UHF帶週波數之電力,而從天線1 1 〇放射UHF帶之 電磁波。 天線偏壓電源1 2 3係介著圓板狀導電體1 1 1而對 於板1 1 5賦加例如1 0 0 Κ Η z程度或自數Μ Η z至 1 0 Μ Η ζ程度之週波數之偏壓,以資控制板1 1 5表面 之反應。特別是使用C F系氣體之氧化膜蝕刻中,使板之 材質爲高純度之矽或碳,由而控制板1 1 5表面之F原子 基團或C F X原子基團之反應由而可能調整原子基團之組 成比。本實施例中,板1 1 5係使用高純度之矽。又圓板 狀導電體1 1 1及殼體而使用鋁,介電體1 1 2及介電體 環1 1 3即使用石英。板1 1 5之下面與晶圓W之距離( 下面積爲''間隙〃)係3 0 m m以上1 5 0 m m以下,最 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) -12- 裝 訂 線 (請先閱讀背面之注意事項再填寫本頁) 580735 A7 B7 五、發明説明(β 好5 0 m m以上1 2 0 m m以下。 本貫施例中天線電源1 2 1係4 5 0 Μ Η z ,天線偏 壓電源1 2 2爲1 3 · 5 6 Μ Η z之週波數,而間隙係設 定於7 0 m m。 下部電極1 3 0乃藉靜電吸著裝置1 3 1而在該上面 (即在試料載置面載置保持晶圓等之試料W。於試料w之 外周部乃’在於絕緣體1 3 3之上面設置例如以高純度之 砂所形成之g式料台環1 3 2。對於下部電極1 3 0乃介著 匹配電路、濾波器系135而連接有最合宜爲4〇〇 KHz至1 3 · 56ΜΗΖ範圍之偏壓電壓之偏壓電源 1 3 4 ’以資控制賦加於試料w之偏壓。本實施例乃偏壓 電源1 3 4之週波數爲80〇ΚΗζ。 下面說明本實施例之要部之爲了計測試料w之表面狀 態地被設置之計測口 1 4 0 A、1 4 0 B。本實施例中, 計測口 1 4 0 A、1 4 0 B係安裝於面向於試料w之天線 1 1 0。而知後述,通過形於板1 1 5之多數之貫穿孔, 而得由垂直上方計測試料W之表面之薄膜等狀態。並且令 計測口 1 4 0據位計測試料w之外周部之位置,令計測口 1 4 0 A設置於據位於試料w之外周與中心之中間位1置 以資獲得關於試料W之表面之面內分佈之資訊。當然計測 口之安裝方式並不限於在此說明之外周部及中間部之二處 ’做爲一處或三處以上,或例如配置於圓周上等等其他之 配置方式亦無妨。 在於計測口 1 4 0 A、1 4 0 B上,設置例如光纖或 本紙張尺度適用中國國家標準(CNS ) A4規格(21〇X297公釐) (請先閱讀背面之注意事項再填寫本頁) 裝------訂------線
經濟部智慧財產局員工消費合作社印製 -13- 580735 A 7 B7 經濟部智慧財產局員工消費合作社印製 五、發明説明(11) 透鏡等之光學傳送機構151A、 151B。從電漿P之 直接光或電漿P之在於晶圓W表面之反射光或干涉光等反 映晶圓W之表面狀態之光學資訊係被傳送至例如由照機或 干涉薄膜計或畫像處理裝置等之計測器1 5 2而所計測。 計測器1 5 2係以計測器控制,運算機構1 5 3所控制。 再連接於上位之系統控制機構1 5 4。系統控制機構 1 5 4係介著控制接口 1 5 5而實施裝置系統之狀態之監 視或控制也。 依本實施例之電漿蝕刻裝置乃以如上述地被構成,使 用此電漿蝕刻裝置而實施例如矽氧化膜之蝕刻之具體的程 序(過程)乃如下。 首先被處理之對象物之晶圓W乃,經不圖示之試料搬 入機構搬入於處理室1 〇 〇之後,載置吸著於下部電極 1 3 0上,視其必要調整下部電極之高度而被設定於規定 之間隙。接著處理室1 0 0內即以真空排氣系1 0 6而被 真空排氣。 另一方面試W之蝕刻處理之必要氣體,例如c 4 F 8 及A r及◦ 2係由氣體供給機構1 1 6而以規定之流量及 混合比。例如Ar 400sccm,C4F8 15 s c c m,〇2 5 s c c m地從天線l l 〇之板1 1 5 而供給於處理室1 0 0。同時處理室1 〇 〇之內部係被調 整爲規定之處理力(例如2 P a )。 另一方面,由磁場形成機構1 〇 1在於板1 1 5之下 切附近,形成對於天線電源1 2 1之週波數之4 5 0 ----------裝-- (請先閱讀背面之注意事項再填寫本頁) 訂 線 本紙張尺度適用中,國國家標準(CNS ) A4規格(210X297公羞) -14- 經濟部智慧財產局員工消費合作社印製 580735 A7 _ B7 五、發明説明( Μ Η z之相當於電子回旋加速器共鳴磁場強度之槪略 1 6 0高斯之大致水平之磁場。 並且由天線電源1 2 1而從天線放射U H F帶之電磁 波,而由與磁場之互相作用在於處理室1 〇 〇內生成電漿 Ρ。由此電漿Ρ而將處理氣體予以解離發生離子。原子基 團,再控制天線高週波電源1 2 3,偏壓電源1 3 4而對 晶圓W實施蝕刻等之處理。 各電源之投入電力乃,例如天線電源1 2 1係1 000W ,天線高週波電源1 2 3係3 0 0 W,偏壓電源1 4 1係 8 0 OW程度。並且透著鈾刻處理之終了而停止電力及處 理氣體之供給完成蝕刻。 此處理中之電漿發光及反映晶圓表面狀態之光學資訊 係通過計測口 1 4 Ο A,1 4 0 Β而由光學傳送機構 1 5 1 A,1 5 1 B而被傳送,在於計測器1 5 2實施計 測,於計測器控制•運算機構1 5 3而依據計測結果實施 •運算處理,傳達於上位之系統控制機構1 5 4介著控制 接口 1 5 5而控制電漿處理裝置系統也。 下面以第2圖乃至第4圖說明計測口 1 4 0之詳細構 造。 第2圖係在於第1圖之實施例中,放大安裝於天線 1 1 0之計測口 1 4 0之部份之斷面圖。如已在第1圖所 說明,形成天線1 1 0之圓板狀導電體1 1 1及介電體 1 1 2係保持於殼體1 1 4,又在圓板狀導電體1 1 1上 設置板1 1 5。在板1 1 5設有多數之氣體流出孔1 1 5 I---------辦衣------1T------^ (請先閲讀背面之注意事項再填寫本頁) 本紙張尺度適用中國國家標準(CNS ) A4規格(210X 297公釐) -15- 經濟部智慧財產局員工消費合作社印製 580735 A7 ___ _B7_ 五、發明説明(13) A ’在圓板狀導電體1 1 1中通過與氣體流出孔1 1 5相 一致之位置之氣體流出孔1 1 A而將處理氣體供給於處理 室1 0 0之內部。設於板1 1 5之氣體透過孔1 1 5A乃 ,例如直徑0 · 1 m m 0,乃至5 m m 0程度,最好是直 徑0.3mm0乃至直徑2mm0程度之貫穿孔。於圓板 狀導電體1 1 1之氣體透過孔1 1A係,與孔1 1A同等 或較大,例如直徑0 · 5mm ♦乃至5mme程度,最好 是直徑2mm0程度。又板115之厚度乃3mm乃至 2 0mm厚,本實施例係6mm。 於板1 1 5上之相當於計測口 1 4 0之部份,密集地 形成有多數之貫穿孔1 1 5 BV。並且大致接觸板1 1 5 之背面(電漿P之相反側面)地設有光傳送體1 4 1 ,而 對於殼體1 1 4而藉由保持機構1 4 2及例如0形環等之 直空封密機構1 4 3而以真空封密地被安裝。並且在於光 傳送體1 4 1之大氣側之端面設置有例如光纖維或透鏡等 之光學傳送機構1 5 1。於是來自電漿P之直接光1 4 5 或從電漿P之試料W之表面之反射光或干涉光1 4 5W係 如以虛線所示之光路1 4 4地,通過板1 1 5之貫穿孔 1 1 5 B,透過光傳送體1 4 1而到達於光學傳送機構 1 5 1 ,再傳送至計測器1 5 2而被計測也。 如後述貫穿孔1 1 5之縱橫比(深度/直徑)乃以約 5以上100以內爲合宜。 本實施例中,光傳送體1 4 1係採用石英製之圓柱狀 之桿光傳送體1 4 1之直徑乃以5mm0至3 Omm0程 本紙張尺度適用中國國家標準(CNS ) A4規格(210X 297公釐) -16- I I I I I I I裝 訂— 線 (請先閲讀背面之注意事項再填寫本頁) 580735 a 7 B7
五、發明説明(W 度爲宜,本實施係使用直徑1 0 m m 0者。 ---------裝-- (請先閲讀背面之注意事項再填寫本頁) 貫穿孔1 1 5 B係與氣體出孔1 1 5 A同樣,例如直 徑〇 . 1 m m 0乃至直徑5 m m 0程度,最好以直徑 〇. 3mm0乃至2mm0程度之大小,本實施例係採用 直徑〇 . 5 m m 0。又貫穿孔1 1 5 B係爲了提向計測感 度起見設置複數個,最好是數1 0個以上爲宜。本實施例 中如下面所述配置了約4 0個。 於第3圖表示貫穿孔1 1 5 B之配置之一實施例。本 實施例中貫穿孔1 1 5 B係互相以等間隔而呈正三角形地 ,以節距1 · 5 m m間隔地,於對應於光傳送體1 4 1之 端面之領域而配置約4 0個之孔。貫穿孔1 1 5 B之直徑 係如前述本實施例係採用0 · 5 m m 0,因此開口率(光 傳送體1 4 1之端面之面積之貫穿孔1 1 5 B之開口部之 總和之比率)係約1 0 % ( = (0.52(mm2) X 40 個 ))/(102)(mm2))程度,可以獲得充分之計測感度。 線 經濟部智慧財產局員工消費合作社印製 當然貫穿孔之配置乃並不侷限於第3圖,例如第4圖 地互相成直交之配置亦可以或配置成同心圓狀等種之配置 均可能。 再者由於各相鄰之貫穿孔間之寬度須要有隔某一程度 (例如1 m m以上),所以貫穿孔之直徑愈小開口率會減 少。例如將直徑0 · 3 m m 0之孔,以1 · 3 m m節距( 開口部份之間之寬度1 m m )來形成於1 〇 m之領域時開 口率爲約5 %。雖然開口率在1 %程度也能計測,惟爲了 i η - s i t u的計測蝕刻率起見,開口率乃以有5 %以 -17- 本紙張尺度適用中·國國家標準(CMS ) A4規格(210 X 297公釐) 580735 經濟部智慧財產局員工消費合作社印製 A7 ___ _B7___五、發明説明( 上爲宜,所以從計測感度之觀點而貫穿孔之直徑爲〇 · 3 m m 0程度以上爲宜。 另一方面如後述,貫穿孔1 1 5 B之直徑乃設定爲較 分子之平均自由行程充分的小爲宜。又爲了不感應起異常 放電起見,貫穿孔之直徑乃以0 . lmme以上,5 mme程度以下,更合宜乃以直徑〇·3mme以上2 m m 0程度以下爲合直。 又雖然貫穿孔1 1 5 B之直徑乃做成與氣體流出孔 1 1 5 A相同時,即板1 1 5之加工過程不會增加而有抑 制成本上昇之利點,惟當然孔徑並不一定相同,由計測之 感度或安定性等而設定爲最適宜之値就可以。又貫穿孔 1 1 B之孔徑也不要相同,例如在於外周側來加大孔徑等 等亦可行。 再者,光傳送體141之須要「透明」,換言之對於 可視光領域全域地具有透過性係並不一定必要,只要對於 計測之波長領域而具有充分之透過率就可以。例如挾著可 視光領域而計測2 0 0 n m之紫外線領域至8 0 0 n m之 近紅外線領域時,石英或藍寶石爲合宜。另一方面以紅外 線領域計測時即使用矽或在於紅外線領域而有良好之透過 特性之Z n S之光學材料亦可以。再者在於光傳送體 1 4 1之端面,爲了提高對於離子濺射之耐性或減低反射 率等目的,可以形成例如藍寶石之A 1 2 0 3等之薄膜亦 可以。 由於計測口 1 4 0係成爲上述之構造,所以在計測口 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) _ _ " (請先閱讀背面之注意事項再填寫本頁) 裝.
、1T 線 經濟部智慧財產局員工消費合作社印製 580735 A7 ____B7_ 五、發明説明(id 1 4 0之部份而不會有,發生異常放電或異物,或降低透 過率等之光學的性能之虞,而長期的可能實施安定之計測 也。下面說明其理由。 首先如於先前技術之課題地所言及,在於上部電極形 成1 0 m m 0程度之大的孔時,即在於孔的部份而由空心 陰極局部地發生異常放電,或電漿侵入於孔之內部而使之 損傷等等。而對於此本實施例乃將貫穿孔之直徑設定爲 0 · 5 m m 0程度之小孔,所以在貫穿孔之部份也不會發 生異常放電,電漿也不會侵入於貫穿孔之內部之情形。本 發明人等經實驗之結果,確認了,將貫穿孔1 1 5 B之直 徑設定爲5mm0程度以下,更合宜爲2mm0程度以下 而達成不會發生如上述之異常之狀態之下可以計測之事實 ,又由於光傳送體1 4 1係大致接觸於貫穿孔1 1 B之背 面地被設置,因此在於貫穿孔1 1 5 B與光傳送體1 4 1 之間沒有可引起異常放電之空間之存在,在此部份也不會 發生異常放電。 再者,本實施例乃在於光傳送體1 4 1之端面不會有 反應生成物附著之情形,一再經放電時間之下也不會降低 透過率因此可以長期間的實施安定之計測者。 這是由於第1 :貫穿孔1 1 B之直徑係被設定爲,與 分子之自由行程比較之下充分的小値之緣故。處理室內之 動作壓力係0 . 5Pa〜4Pa程度,此時之分子之平均 自由行程λ乃大約5 m m乃至3 0 m m程度(A r分子, 2 5 t時)。而對於此貫穿孔1 1 5 B之直徑D h係 本紙張尺度適用中.國國家標準(CNS ) A4規格(210 X 297公釐) -19- 裝 訂 線 (請先閱讀背面之注意事項再填寫本頁) 580735 經濟部智慧財產局員工消費合作社印製 A7 _B7_ 五、發明説明(17) 〇 . 5 m m 0程度,所以與分子之平均自由行程λ之比, 換言之之値係大約Dh/;l = 0 · 0 2〜 0 . 1。如此地將貫穿孔1 1 5 B之直徑D h設定於較分 子之平均自由行程 λ而充分的小,所以電漿P中之氣體 分子之侵入於貫穿孔1 1 5 Β之內部之或然率很小。 由於第2 :貫穿孔1 1 5 Β係在本實施例係對於直徑 爲0.5mm而板之厚度之進深方向之深度係設定爲6 m m。如上所述縱橫比(=深度/直徑)爲1 0以上,孔 係有充分之深度,所以原子基團之通過貫穿孔1 1 5 B而 附著於光傳送體1 4 1之端面之或然率可以充分抑制於小 値。 原子基團之附著於光傳送體141之端面之或然率乃 比例於,在於端面之貫穿孔1 1 5 B (孔徑:D、長度: L)而形成之立體角(1Ω。 於第5圖表示立體角(1Ω之縱橫比(AR = L/ D) 之依存性。 由圖可知,立體角d Ω係反比例於AR之二乘,如果 立體角d Ω爲縱橫比5以上時,立體角d Ω係縱橫比5以 上時,立體角άΩ乃平面之立體角;r之:L/1 0 0以下, 而原子基團之能到達於光傳送體141之端面之或然率係 充分的變小。所以爲了獲得光傳送體1 4 1之端面之防止 污染之效果起見,貫穿孔1 1 5 Β之縱橫比即設定爲5以 上1 0 0以內就可以。 再者,板1 1 5乃由電漿所加熱而表面程度之成爲 (請先閱讀背面之注意事項再填寫本頁) -裝· 、1Τ 線 本紙張尺度適用中國國家標準(CNS ) Α4規格(210X29*7公釐) -20- 580735 經濟部智慧財產局員工消費合作社印製 A7 __B7_五、發明説明(id 1 0 〇 °c以上,所以反應生成物之附著於貫穿孔1 1 5 B 之內部之或然率小,所以不會有堆積物之附著生長於貫穿 孔1 1 5 B內面由而貫穿孔之實效的透過面積之減少之情 形。 由於第3點,對於板1 1 5上將賦加數1 0乃至數 1 0 0 V程度之偏壓電壓,所以構成電漿中之離子係被拉 入貫穿孔1 1 5 B之進深方向。因此於光傳送體1 4 1之 端面可能有具有數1 0 e V至數1 〇 e V程度之能量之離 子(雖不是高的或然率)到達。因此就算是光傳送體 1. 4 1之端面有附著反應生成物,很快的由離子之濺射效 果而被除去。並且以耐電漿性高之例如石英,藍寶石來構 成光傳送體1 4 1 ,由而可以使由光傳送體1 4 1之端面 之損傷所致之光學的性能之降低以使之很小也。 上述之這些效果之綜合的結果,光傳送體1 4 1乃不 會有在該端面附著反應生成物或表面變粗糙之情形。經多 次之放電之重覆也可以將光透過性保持於一定,由而可以 達成長期間地安定之計測者。 本發明人等,對於上述三個要因,經過多次之實驗及 檢討之結果,關於對於試料W表面之氧化膜實施蝕刻處理 時採用了如本實施例所說明之採用貫穿孔之直徑爲〇·5 mm,板之厚度爲6mm,確認了不會發生異常放電地可 以實施安定之計測之事實。 第6圖係由本實驗所獲得之訊號波形之模式圖。隨著 蝕刻處理之進行而獲得由氧化膜表面及來自底層之反射光 (請先閲讀背面之注意事項再填寫本頁) 裝- 訂 線 本紙張尺度適用中.國國家標準(CNS ) A4規格(210X297公釐) -21 - 580735 經濟部智慧財產局員工消費合作社印製 A7 B7五、發明説明(id 之干涉狀態所變化之干涉訊號,由此週期而可以i η -s i t u地測定蝕刻率。又同時也可以獲得來自電漿之直 接光之電漿發光訊號。並且在於蝕刻處理之終點這些干涉 訊號及電漿發光訊號也同時地有變化,可以知道達成了檢 出鈾刻處理終點之表面狀態及電漿組成之變化者。這些訊 號乃至少在於放電數十小時以上的可能以充分之精度地檢 出,這些此間之異物之發生係20個(0 · 2//m以上) 之水平,由而確認了可以安定的計測之事實者。 再者,如第2圖可知,光傳送體1 4 1乃只藉由保持 機構1 4 2及真空封密機構1 4 3即可做到固定及真空封 密,因此成爲當欲將處理室開放於大氣時,卸下保持機構 1 4 2即可以容易更換之構造。因此如果由製程之條件而 在於光傳送體1 4 1之端面慢慢地有堆積物之附著,或由 離子濺射而表面變粗糙而將電漿處理裝置開放於大氣而實 施濕式洗淨時,很容易更換光傳送體1 4 1。可以將濕式 洗淨(全掃)時之停機時間使之最小限度者。 接著以第7圖至第8圖說明,實施通過貫穿孔1 1 5 B實施計測檢出光學系之其他實施例。在於第2圖之實施 例中,光學傳送機構151乃採用光纖,從光路144之 中途之自電漿P之直接光1 4 P或於電漿P之試料W表面 之反射光,干涉光1 4 5 W之全部均入射於光纖而被計測 ,這是特別是隨著蝕刻處理之進行而電漿中之原子基團組 成之變化,用於檢出很合宜之構成,另一方面,爲了敏感 檢出試料W之薄膜等厚度之表面狀態之變化起見,來自電 本紙張尺度適用中國國家標準(CNS ) A4規格(210X 297公釐) 「22 - (請先閱讀背面之注意事項再填寫本頁) 裝· 訂 線 580735 A7 __ _B7_ 五、發明説明(20> 漿Ρ之直徑乃對於計測而言將成爲雜訊成份,所以有時即 不會被檢出比較合宜。此時即採用銹鏡等做爲光學系之結 像光學系爲合宜。 第7圖表不此種光學系之一實施例。本實施例乃在於 光學傳送機構1 5 1採用了做爲結像機構之透鏡1 5 1 A 。而將來自試料W表面之光學資訊例如結像於攝影機或畫 處理裝置等之計測器1 5 2之檢出元件部1 5 2 A。於檢 出元件1 5 2 A之直前配置光圈或針孔等之空間濾光器 152B,由而可以隔斷來自電漿P之直接光145P而 得於只將來自試料W之表面光學資訊1 4 5 W傳送於檢出 元件1 5 2 A,所以可以提高對於試料W之表面狀態之檢 •計測之感度者。 由於本實施例乃在於光學系之中途,備有貫穿孔 1 1 5 B,因此看起來似乎光路被隔絕很難計測試料w之 表面。惟令貫穿孔1 1 5 B之縱橫比(=深度/直徑)考 量來自試料W表面之光之擴大之關係而設定爲適宜之値, 由而貫穿孔1 1 5 B之不致於遮斷的可以計測者。 將此情形使用第8圖來做詳細的說明。第8圖係第7 實施例中只抽出有關於計測及光學系之部份飲模式圖。 圖中之標號說明如下。 0^貫穿孔1158之直徑。 L h :貫穿孔1 1 5 B之深度(相當於板1 1 5之厚 度)。 L g :試料W與結像機構1 5 1 A之距離(相當於第 (請先閱讀背面之注意事項再填寫本頁) -裝. 線 經濟部智慧財產局員工消費合作社印製 本紙張尺度適用中.國國家標準(CNS ) A4規格(210X 297公釐) -23- 經濟部智慧財產局員工消費合作社印製 580735 A7 _______ ΒΊ 五、發明説明(2l) 1圖實施例所述之間隙) L z :試料w與板1 1 5之距離(L z — L g係相觜於 第1圖.實施例所述之天線部份之厚度)。 D z ··結像機構(本實施例係透鏡)1 5 1 A之有效 徑(大致相等於光傳送體1 4 1之直徑D r )。 於是’本實施例之各個之實際之値乃如前面已述之
Dh: 〇 · 5mm 办、Lh=6mm、L g = 7 〇mm 、D r = D z = 1 0 m m 0,又天線部份之厚度 係 Lz - Lg=8〇mm,Lz=15〇mmo 方令是自g式料W表面之擴開角0乃依據自結像機構 151A之試料w之距離Lz與有效直徑Dz之比 Lz/Dz 而得以 0Z = t a n_1 ( (Dz/2) / Lz) 來表示。因此本實施例時0n=l·9。。相當於來自試 料W表面之光之擴開角之lz/Dz係約1 5程度。對此 而將依據貫穿孔1 1 5 B之縱橫比L h / D h之估計之角 度 0n,採用 0h=tan_1( (Dh/2)/Lh)來做 定義時,· 3°可見成爲與θ &比較時更小之角 度。如上所述,將來自試料W表面之光之擴大角0 h設定 爲較貫穿孔1 1 5 B之估計角β z稍小一點,由而來自試 料W表面之光乃不致於由貫穿孔1 1 5 Β所阻攔地可到達 於結像機構1 5 1 A,而在於檢出元件1 5 2 Α上結焦點 也。 第8圖表示這種情形以實驗地確認時之情形,在於試 料W之表面標上數mm角之大小之文字之像I mg 1 ,並 本紙張尺度適用中國國家標準(CNS ) Α4規格(210ΧΜ7公釐) 24- ---------1衣------?τ------# (請先閱讀背面之注意事項再填寫本頁) 580735 經濟部智慧財產局員工消費合作社印製 A7 ____ B7五、發明説明(2:^ 且在電漿處理中觀察了試料W表面,結果在於檢出元件 1 5 2 A上’光學的傳送了像I m g 1,在於計測器 1 5 2之顯示畫面1 5 2 C顯示了像I m g 2。此像 I m g 2乃在於外周部有受貫穿孔1 1 5 B之微小之「干 涉」之影響,(第8圖中以同心圓狀之虛線來表現)。惟 仍然充分保有元來之像I m g 1之資訊,具有用於測定試 料W表面之薄膜狀態時之充分之質。並且,實施藉由電漿 P而對於試料W表面之氧化膜實施蝕刻處理時,確認了得 對應於隨應於蝕刻處理之進行之氧化膜之厚度之變化而與 第6圖所示者同樣地獲得由來自氧化膜表面及來自底層之 反射光之干涉訊號,實驗地確認得以i η - s i t u地測 定了蝕光率也。 按上面所述之實施例中,在於光傳送體1 4 1採用了 石英製之棒狀體(桿)。惟這是一舉例。當然其他之構成 亦可用。下面以第9圖說明其他之實施例。第9圖例示, 做爲光傳送體1 4 1而挖空棒狀體(桿)之內部做成空心 (中空)。而在該處插入光纖做爲光學傳送機構151而 構成者。於第9圖中,在於相當於圓板狀導電體1 1 1之 貫穿孔1 1 5 B之部份設有氣體導入部份1 1 1 B。因此 在於如光傳送體1 4 1之端面容易附著反應生成物之處理 條件之下,處理用氣體乃亦可以從氣體導入部份而供給, 可以防止反應生成物之堆積,又第9圖中,由於可以縮短 透過光傳送體1 4 1之光路,具有減低光學資訊之損失之 效果。 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) _ 25 - (請先閱讀背面之注意事項再填寫本頁) .裝· 訂 線 580735 經濟部智慧財產局員工消費合作社印製 A7 _B7___五、發明説明( 接著,依第1 0圖說明,用於檢出,成爲異物之發生 之原因之,堆積於基座週圍,或處理室之側壁之反應生物 量之變動之實施例。本例中,省略與第1圖之同一構件之 說明。 以基座爲蓋之絕緣體1 3 3之側壁或於一處理室之側 壁1 02設置令光反射之反射體1 6 9A或1 6 9B。而 面向於該反射體之位置設置具有本發明之貫穿孔之計測口 1 6 0A或1 6 1A,介著光傳送機構1 6 1A或1 6 1 B而將來自反射體之反射光或干涉光之變動傳送於光計測 器1 5 2而予以計測,計測器1 5 2係藉由計測器機構 1 6 2,控制•運算機構1 6 3來控制,同時被計測之反 射光或干涉光之有很大之變動時,由顯示機構1 6 4發出 警告。 依本實施例時,當成爲異物之原因之堆積於基座周圍 或處理室之側壁之反應生成物之從反射體上剝離時,即從 來反射體之光量會有變化,因此藉由檢出其變動就可以發 出防止異物發生之多發之警告者。以此警告來決定適宜之 全掃時期未然地可以防止運用本裝置時所發生之異常也。 接著使用第1 1圖及第1 2圖說明關於連續蝕刻處理 時之裝置診斷之板1 1 5之消耗情形。 板1 1 5乃,由於在於晶圓之蝕刻處理時,由天線電 源1 2 1而賦加高週波電力,所以會被蝕刻消耗。第1 1 圖表示,在於板1 1 5之氣體流出孔1 1 5 A斷面之處理 時間依存性者。如同圖所示,蝕刻處理時間愈長時,板之 (請先閱讀背面之注意事項再填寫本頁) .裝-
、1T -線 本紙張尺度適用中國國家標準(CNS ) Α4規格(210X 297公釐) -26- 580735 經濟部智慧財產局員工消費合作社印製 A7 ___B7_五、發明説明(24) 厚度愈減少,同時該處理側之孔徑會擴大,再繼續實施蝕 刻處理時,氣體流出孔1 1 5 A即成爲厚度約4 . 5 m m 孔徑約1 · 3 m m之貫穿孔。在此種氣體流出孔乃,孔之 面積係與蝕刻處理之初期相比較增加至約1 0 . 6倍,由 而發生蝕刻氣體之供給狀態有很大的改變,或誘起氣體流 出孔內之異常放電,或發生異物之可能性變高,對於連續 蝕刻處理會引起重大的損傷。 在於第1 2圖表示,於連續處理實驗時之由氣體流出 孔形狀所換算之預想之立體角d Ω之變化(以實線表示) 。再者將以之標記而疊合於它的顯示該時之發光量之變動 ,由圖之顯示可以明白,在於處理時間4 0 0小時(h r )以內時立體角d Ω不會有不的變化,惟處理小時超過 5 0 0小時時即會急激的增加。又發光量之變化亦具有同 樣之傾向,由而可以明瞭處理時間超過5 0 0小時以上時 ,連續蝕刻處理上引起重大損傷之可能性變高之事實。 依本實施例時,藉由監視,由具有本發明之貫穿孔之 計測口所計測之發光量,就能夠檢知板1 1 5之消耗,可 以未然地防止裝置在運用時之異常者。 再者,上述之各實施例乃均屬於有磁場UH F帶電磁 波放射放電方式之電漿處理裝置。惟所放射之電磁波係除 了 UHF帶以外,例如245GHz之微波或從數1 〇 ΜΗ z至3 0 ΟΜΗ z程度爲止之VHF帶亦可以。 再者,磁場強度係舉例了對於4 5 0 ΜΗ z之電子迴 轉共鳴(諧振)磁場強度之1 6 0高斯之情形來做說明’ 本紙張尺度適用中國國家標準(CNS ) Α4規格(210 X297公釐) _之7 - (請先閲讀背面之注意事項再填寫本頁) 裝· 、1Τ 線 580735 經濟部智慧財產局員工消費合作社印製 A7 _______B7_五、發明説明(29 惟不一定要使用共鳴磁場,採用比它強之磁場或數1 0高 斯程度以上之弱的磁場亦可。 再:者本發明當然不限於適用於電磁波放射放電方式, 在於電容結合型之平行平板電漿處理裝置,或磁控管型之 電漿處理裝置,或感應結合型之電漿處理裝置亦可以適用 〇 特別是,對於下部電極賦加高週波,而在於上部設置 接地板之型式之電漿處理裝置中,由於面向於晶圓之上板 之構造係比較的簡便,所以裝設與本發明同樣之計測口係 屬於可能且容易。又在於上部電極賦加高週波而使用之發 生電漿之所謂平行平板型之電漿處理裝置中,如對於上部 電極須賦加數kW之大電力之高週波,所以如在上部電極 設孔或空隙等時容易發生異常放電,惟依本發明之構造時 即在於計測口部分不會有發生異常放發電等之情形。特別 是所謂狹電極型之平行平板電漿裝置乃由上下電極之間隙 小,因此很困難於從側方獲取晶圓表面之資訊或上下電極 間之電漿之資訊、所以利用本發明之利點係很大。 另一方面,在於感應結合(I CP)型之電漿處理裝 置係,如在天板使透明之石英時,雖然某一程度地可以計 測晶圓表面之狀態,而例如使用氧化鋁製之圓頂或矽製板 時,就可以適用本發明之計測口也。具體的說,在於鋁製 之板上,形成如第3圖所示之多數之密集之孔而在於背面 設置真空封密用之石英板地構造,係業者之容易設計者。 在於I C P型之電漿處理裝置係爲了獲得製程特性或再現 (請先閲讀背面之注意事項再填寫本頁) •裝. 訂 線 本紙張尺度適用中國國家標準(CNS ) A4規格(210 X 297公釐) -28- 580735 經濟部智慧財產局員工消費合作社印製 A7 B7五、發明説明(2^) 性起見,有時須要將天板調溫例如1 5 0 t以上之高溫之 情形,而在這種溫度條件之下,當然本發明亦可以適用也 〇 再者上述之各實施例均,處理對象係屬於半導體晶圓 ,且對於它之鈾刻處理之情形爲例。惟本發明並不侷限於 例如處理對象之液晶基板時亦可以適用。又處理本身亦不 限於蝕刻,例如對於濺射處理或C V D處理亦可能適用者 〇 如上所說明,依本發明,得從真空處理室之外部而精 度高,且不致於發生異常放電,不會發生異物地長期且安 定地可以計測者。 例如,面向於晶圓而設置天線或電極之面向平板型之 構造中亦能從試料W之上方或斜上方之位置,不致於發生 異常放電或異物之情形地安定地在於量產水平時亦能長期 的可以高精度之測定電漿或試料表面之薄膜之狀態。該結 果,在於蝕刻處理之終點之檢出,或蝕刻·成膜之速率。 均一性均能做到i η - s i t u監視,所以可以提供更進 步之製程之控制方法,同時也可以提高處理之再現性或安 定性所以可以提供對於處理之實動率或生產性即使重複長 時間之放電,也可抑制透過率降低,可長期地進行安定的 計測之電漿處理裝置。 圖式之簡單說明 第1圖係本發明之一實施例之電漿蝕刻裝置之斷面模 (請先閲讀背面之注意事項再填寫本頁) -裝· 訂 線 本紙張尺度適用中國國家標準(CNS ) A4規格(210X 297公釐) -29- 經濟部智慧財產局員工消費合作社印製 580735 A7 一 B7 五、發明説明(27) 式圖。 第2圖係表示本發明之要部之貫穿孔部份之構造之圖 0 第3圖係表示本發明之貫穿孔之配置之一實施例之圖 〇 第4圖係表示本發明之貫穿孔之配置之其他實施例之 圖。 第5圖係對於本發明之貫穿孔之立體角之縱橫比依存 性之圖。 第6圖係本實施例中以實驗的獲得之訊號波形之模式 圖。 第7圖係說明做爲本發明之其他實施例而使用結像光 學系之例之圖。 第8圖係說明第6圖之實施例之作用之圖。 第9圖係說明做爲本發明之其他實施例而使用中空型 之構造.來做光傳送體之例之圖。 第1 0圖係做爲其他實施例,而將反射體設於基體或 處理室側壁而實施裝置診斷之例之說明圖。 第1 1圖係做爲其他實施例而說明實施關於氣體供給 板之消耗檢知之裝置診斷之例之氣體流出孔之斷面圖。 第1 2圖係說明第1 1圖之實施例之發光變化與立體 角變化之圖。 主要元件對照 本紙張尺度適用中國國家標準(CNS ) A4規格(210X 297公釐) -30- 裝 訂 線 (請先閱讀背面之注意事項再填寫本頁) 580735 A7 B7 經濟部智慧財產局員工消費合作社印製 、發明説明( 28> 100 處 理 室 101 磁 場 形成 機 構 102 側 壁 103 真 空 室 104 真 空 排 氣 系 105 壓 力 控 制 機 構 110 天 線 111 圓 板狀 導 電 體 112 介 電 體 113 介 電 體 環 114 外 殻 115 板 116 氣 體 供 給 機 構 120 天 線 電 源 系 121 天 線 電 源 122 天 線 電 路 濾 波 系 124 天 線 電 路 濾 波 系 125 天 線 電 路 f慮 波 系 126 導 入 端 子 111 A 氣 體 Πϋ 透 過 孔 1 15A 氣 體 流 出 孔 1 15B 貫 穿 孔 51 光 傳 送 機 構 P 電 漿 (請先閱讀背面之注意事項再填寫本頁) 裝.
、1T 線 本紙張尺度適用中國國家標準(CNS ) Α4規格(21〇X:297公釐) -31 - 580735 A7 B7 經濟部智慧財產局員工消費合作社印製 、發明説明( 291 W 晶 圓 ( 試 料 ) 130 上 部 電 極 131 靜 電 吸 著 裝 置 132 試 料 台 133 絕 緣 髀 134 偏 壓 電 源 135 匹 配 電 路 濾 波 系 140 計 測 □ 140Α 計 測 □ 140Β 計 測 □ 141 光 傳 送 體 142 保 持 機 構 143 真 空 封 密 機 構 144 真 空 封 密 機 構 145W 干 涉光 145Ρ 直 接 光 151 A 光 學 傳 送 機 構 151B 光 學 傳 送 機 構 152 計 測 器 152A 檢 出 元 件 152B 空 間 濾 波 器 153 計 測 器 控 制 運 算機構 154 系 統 控 制 機 構 155 接 □ ( 介 面 ) (請先閱讀背面之注意事項再填寫本頁) .裝·
、1T 線 本紙張尺度適用中國國家標準(CNS ) Α4規格(210Χ 297公釐) -32- 580735 A7 B7 五、發明説明(3C) 160Α 反 射 體 Π-ΪΖ. 160Β 反 射 體 161 A 光 傳 送 機 構 161B 光 傳 送 機 構 163 控 制 運 算 機構 164 顯 示 機 構 169A 反 射 體 169B 反 射 體 (請先閱讀背面之注意事項再填寫本頁) 裝·
、1T 線 經濟部智慧財產局員工消費合作社印製 本紙張尺度適用中國國家標準(CNS ) Α4規格(210Χ297公釐) -33-
Claims (1)
- 58073 經濟部智慧財產局員工消費合作社印製A8 B8 C8 D8 夂、申請專利範圍 第90 1 03 83 3號專利申請案 中文申請專利範圍修正本 民國93年1月9日修正 1·一種電漿處理裝置,主要乃,對於真空處理室內供 給處理氣體,由電漿發生裝置而發生電漿,藉由該電漿來電 漿處理載置於試料台上之試料之電漿處理裝置中,其特徵爲 ,具備·· 配置於面向於配置在上述真空處理室的該處理室內的上 述試料之位置,深度/直徑之比爲5以上1 0 0以內之至少 1個以上之貫穿孔,及介著該貫穿孔來計測來自上述試料之 表面狀態之光學資訊之計測機構者。 2.—種電漿處理裝置,主要乃,對於真空處理室內供 給處理氣體,由電漿發生裝置而發生電漿,藉由該電漿來電 漿處理載置於試料台上之試料之電漿處理裝置中,其特徵爲. ,具備: 配置於上述真空處理室內的試料,及 形成於上述真空處理室內之面向於試料之位置之深度/ 直徑之比爲5以上1 0 0以內之至少1個以上之貫穿孔,及 •以其一方之端面之大致上接觸於上述構造體地設置於該 貫穿孔之背面之光傳送體,及 配置於該光傳送體之其他端面之光學傳送機構,以及 介著該光傳送體及該光學傳送機構,以資計測反映上述 試料之表面狀態之光學資訊之機構者。 3 ·如申請專利範圍第1項或第2項所述之電漿處理裝 本紙张尺度適用中國國家標率(CNS)A4此格(2i〇x297公釐)·1 · (請先閲讀背面之注意事項再填寫本頁) 580735 A8 B8 C8 D8 夂、申請專利範圍 置中’令上述貫穿孔之大小定爲直徑Φ 〇 · 1mm以上5 m m以下者。 4 ·如申請專利範圍第1項或第2項所述之電漿處理裝 置’其中反映上述試料之表面狀態之光學資訊乃,上述電漿 之上述試料表面之反射光或干涉光者。 5 .如申請專利範圍第.丨項或第2項所述之電漿處理裝 置’其中上述試料係載置於上述試料台上之上述試料者。 6 .如申請專利範圍第1項或第2項所述之電漿處理裝 置’其中上述試料係設於上述真空處理室之壁面之鏡體者。 7 .如申請專利範圍第1項或第2項所述之電漿處理裝 置’其中形成複數個之上述貫穿孔,而令對於該形成複數個 之貫穿孔之領域之全面積之該貫穿孔之開口面積之總和爲5 %〜5 0 %者。 8 ·如申請專利範圍第1項或第2項所述之電漿處理裝 置’其中做爲上述光傳送體而使用石英或藍寶石者。 9 ·如申請專利範圍第1項或第2項所述之電漿處理裝 置,其中,將上述光傳送體,只藉由一組保持機構及真空封 密機構而更換可能地固定於上述真空處理室者。 10 · —種電漿處理裝置,主要乃,對於真空處理室內 供給處理氣體,由電漿發生裝置而發生電漿,藉由該電漿來 電漿處理載置於試料台上之試料之電漿處理裝置中,其特徵 爲,具備: 配置於上述真空處理室內的試料,及 · 形成於上述真空處理室內之面向於試料之位置之深度/ 本紙張尺度適用中國國家標準(CNS ) A4规格(210X297公釐)-2- --------— (請先閲讀背面之注意事項再填寫本頁) 訂 經濟部智慧財產局員工消費合作社印製 580735 ABCD 六、申請專利範圍 ---------- (讀先閲·#背面之注意事項再填寫本頁) 直徑之比爲5以上1 . 〇 〇以內之至少1個以上之貫穿孔,及 介著該貫穿孔來計.·測反映上述試料之表面狀態之光學資 料之機構,及 依據上述光學資訊之變動而鑑定異物之發生狀態之機構 者。 1 1 ·如申請專利範圍第1 0項所述之電漿處理裝置, 其中’具備當做爲上述光學資訊地被計測之反射體或干涉光 之很大的變動時可以發出警告之顯示機構者。 1 2 · —種電漿處理裝置,主要乃,對於真空處理室內 供給處理氣體,由電漿發生裝置而發生電漿,藉由該電漿來 電漿處理載置於試料台上之試料之電漿處理裝置中,其特徵 爲,具備: 配置於上述真空處理室內的試料,及 形成於上述真空處理室內之面向於試料之位置之深度/ 直徑之比爲5以上1 〇 〇以內之至少1個以上之貫穿孔,及 介著該貫穿孔來計測反映上述試料之表面狀態之光學資 料之機構,及 經濟部智慧財產局員工消费合作社印製 依據上述光學資訊之變動而鑑定上述構造體之消耗狀況 之機構者。 1 3 ·如申請專利範圍第1 2項所述之電漿處理裝置, 其中 上述構造體乃高純度之矽或碳製之板者。 1 4 · 一種試料之處理方法,主要乃,對於真·空處理室 內供給處理氣體,由電漿發生裝置而發生電漿,藉由該電漿 •本1^張尺度逍用中國國家樣準(€奶)八4規格(210父297:釐)-3 — : 580735 A8 B8 C8 D8 六、申請專利範圍 來實施載置於試料台上之試料之電漿處理試料之處理方法中 , 其特徵爲, 介著形成於上述真空處理室之面向於上述試料之位置之 上述真空處理室之壁之,深度/直徑之比係5以上 1 0 0以內之至少一個以上之貫穿孔來計測該反映上述試料 之表面狀態之光學資訊, 而依據上述光學資訊之變動,一面計測上述試料表面之 薄膜狀態,一面實施上述試料之·處理者。 (請先閲讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 才、紙張尺度適用中國國家標準(CNS ) A4規格(210X297公後:Γ 4
Applications Claiming Priority (1)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
JP2000048933 | 2000-02-21 |
Publications (1)
Publication Number | Publication Date |
---|---|
TW580735B true TW580735B (en) | 2004-03-21 |
Family
ID=18570943
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW090103833A TW580735B (en) | 2000-02-21 | 2001-02-20 | Plasma treatment apparatus and treating method of sample material |
Country Status (3)
Country | Link |
---|---|
US (5) | US6755932B2 (zh) |
KR (1) | KR100545034B1 (zh) |
TW (1) | TW580735B (zh) |
Cited By (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN105431566A (zh) * | 2013-03-14 | 2016-03-23 | 卡迪奈尔镀膜玻璃公司 | 等离子体发射监测仪及工艺气体输送系统 |
Families Citing this family (212)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
KR100438379B1 (ko) * | 2001-09-05 | 2004-07-02 | 가부시끼가이샤 히다치 세이사꾸쇼 | 반도체소자제조공정의 종점판정방법과 장치 및 그것을사용한 피처리재의 처리방법과 장치 |
TWI290810B (en) * | 2001-09-27 | 2007-12-01 | Tokyo Electron Ltd | Plasma treatment device |
US20030139043A1 (en) * | 2001-12-11 | 2003-07-24 | Steve Marcus | Apparatus and method for monitoring a plasma etch process |
US20030180971A1 (en) * | 2002-03-25 | 2003-09-25 | Adaptive Plasma Technology Corporation | Plasma etching method and apparatus for manufacturing a semiconductor device |
JP3821065B2 (ja) * | 2002-07-04 | 2006-09-13 | 東京エレクトロン株式会社 | プラズマ処理装置、上部電極カバー、及び上部電極カバー用窓部 |
US6830664B2 (en) * | 2002-08-05 | 2004-12-14 | Tegal Corporation | Cluster tool with a hollow cathode array |
US20040040658A1 (en) * | 2002-08-29 | 2004-03-04 | Tatehito Usui | Semiconductor fabricating apparatus and method and apparatus for determining state of semiconductor fabricating process |
KR100488348B1 (ko) * | 2002-11-14 | 2005-05-10 | 최대규 | 플라즈마 프로세스 챔버 및 시스템 |
TWI240601B (en) * | 2002-11-26 | 2005-09-21 | Tokyo Electron Ltd | Plasma processing system and method |
JP4753276B2 (ja) * | 2002-11-26 | 2011-08-24 | 東京エレクトロン株式会社 | プラズマ処理方法及びプラズマ処理装置 |
AU2003294379A1 (en) * | 2002-12-20 | 2004-07-29 | Tokyo Electron Limited | Method and apparatus for determining consumable lifetime |
US20040171273A1 (en) * | 2003-02-28 | 2004-09-02 | Masatoshi Oyama | Specimen surface processing apparatus and surface processing method |
US7140374B2 (en) | 2003-03-14 | 2006-11-28 | Lam Research Corporation | System, method and apparatus for self-cleaning dry etch |
US7078344B2 (en) * | 2003-03-14 | 2006-07-18 | Lam Research Corporation | Stress free etch processing in combination with a dynamic liquid meniscus |
US7235155B2 (en) * | 2003-03-14 | 2007-06-26 | Tokyo Electron Limited | Method and apparatus for monitoring plasma conditions using a monitoring ring |
US7009281B2 (en) * | 2003-03-14 | 2006-03-07 | Lam Corporation | Small volume process chamber with hot inner surfaces |
US7217649B2 (en) | 2003-03-14 | 2007-05-15 | Lam Research Corporation | System and method for stress free conductor removal |
US7232766B2 (en) | 2003-03-14 | 2007-06-19 | Lam Research Corporation | System and method for surface reduction, passivation, corrosion prevention and activation of copper surface |
JP4026529B2 (ja) * | 2003-04-10 | 2007-12-26 | 東京エレクトロン株式会社 | シャワーヘッド構造及び処理装置 |
US20040237888A1 (en) * | 2003-05-30 | 2004-12-02 | General Electric Company | Optical monitoring system for plasma enhanced chemical vapor deposition |
US7033518B2 (en) * | 2003-06-24 | 2006-04-25 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method and system for processing multi-layer films |
US7158221B2 (en) * | 2003-12-23 | 2007-01-02 | Applied Materials, Inc. | Method and apparatus for performing limited area spectral analysis |
US20050205211A1 (en) * | 2004-03-22 | 2005-09-22 | Vikram Singh | Plasma immersion ion implantion apparatus and method |
US20050223986A1 (en) * | 2004-04-12 | 2005-10-13 | Choi Soo Y | Gas diffusion shower head design for large area plasma enhanced chemical vapor deposition |
US7878145B2 (en) * | 2004-06-02 | 2011-02-01 | Varian Semiconductor Equipment Associates, Inc. | Monitoring plasma ion implantation systems for fault detection and process control |
JP4601439B2 (ja) * | 2005-02-01 | 2010-12-22 | 株式会社日立ハイテクノロジーズ | プラズマ処理装置 |
US7833381B2 (en) * | 2005-08-18 | 2010-11-16 | David Johnson | Optical emission interferometry for PECVD using a gas injection hole |
US20070042510A1 (en) * | 2005-08-19 | 2007-02-22 | Wafermasters, Incorporated | In situ process monitoring and control |
US20090214787A1 (en) * | 2005-10-18 | 2009-08-27 | Southwest Research Institute | Erosion Resistant Coatings |
CN101326303B (zh) * | 2005-10-18 | 2012-07-18 | 西南研究院 | 抗侵蚀涂层 |
JP2007165512A (ja) * | 2005-12-13 | 2007-06-28 | Hitachi High-Technologies Corp | プラズマ処理装置 |
US8475625B2 (en) * | 2006-05-03 | 2013-07-02 | Applied Materials, Inc. | Apparatus for etching high aspect ratio features |
US8440049B2 (en) * | 2006-05-03 | 2013-05-14 | Applied Materials, Inc. | Apparatus for etching high aspect ratio features |
US7968469B2 (en) | 2007-01-30 | 2011-06-28 | Applied Materials, Inc. | Method of processing a workpiece in a plasma reactor with variable height ground return path to control plasma ion density uniformity |
US7879731B2 (en) | 2007-01-30 | 2011-02-01 | Applied Materials, Inc. | Improving plasma process uniformity across a wafer by apportioning power among plural VHF sources |
US7884025B2 (en) | 2007-01-30 | 2011-02-08 | Applied Materials, Inc. | Plasma process uniformity across a wafer by apportioning ground return path impedances among plural VHF sources |
JP5161469B2 (ja) * | 2007-03-16 | 2013-03-13 | 株式会社日立ハイテクノロジーズ | プラズマ処理装置 |
JP2008251866A (ja) * | 2007-03-30 | 2008-10-16 | Hitachi High-Technologies Corp | プラズマ処理装置 |
JP5149610B2 (ja) * | 2007-12-19 | 2013-02-20 | 株式会社日立ハイテクノロジーズ | プラズマ処理装置 |
US8066895B2 (en) * | 2008-02-28 | 2011-11-29 | Applied Materials, Inc. | Method to control uniformity using tri-zone showerhead |
US8847249B2 (en) | 2008-06-16 | 2014-09-30 | Soraa, Inc. | Solid-state optical device having enhanced indium content in active regions |
JP2010003915A (ja) * | 2008-06-20 | 2010-01-07 | Mitsubishi Electric Corp | エッチング装置及び半導体装置の製造方法 |
US8143148B1 (en) | 2008-07-14 | 2012-03-27 | Soraa, Inc. | Self-aligned multi-dielectric-layer lift off process for laser diode stripes |
US8805134B1 (en) | 2012-02-17 | 2014-08-12 | Soraa Laser Diode, Inc. | Methods and apparatus for photonic integration in non-polar and semi-polar oriented wave-guided optical devices |
US8259769B1 (en) | 2008-07-14 | 2012-09-04 | Soraa, Inc. | Integrated total internal reflectors for high-gain laser diodes with high quality cleaved facets on nonpolar/semipolar GaN substrates |
EP2319086A4 (en) | 2008-08-04 | 2014-08-27 | Soraa Inc | WHITE LIGHTING DEVICES WITH NON POLAR OR SEMI-POLAR GALLIUM-HARDENED MATERIALS AND INFLUENCES |
US8284810B1 (en) | 2008-08-04 | 2012-10-09 | Soraa, Inc. | Solid state laser device using a selected crystal orientation in non-polar or semi-polar GaN containing materials and methods |
US20100101727A1 (en) * | 2008-10-27 | 2010-04-29 | Helin Ji | Capacitively coupled remote plasma source with large operating pressure range |
US8837545B2 (en) | 2009-04-13 | 2014-09-16 | Soraa Laser Diode, Inc. | Optical device structure using GaN substrates and growth structures for laser applications |
US8294179B1 (en) | 2009-04-17 | 2012-10-23 | Soraa, Inc. | Optical device structure using GaN substrates and growth structures for laser applications |
US8242522B1 (en) | 2009-05-12 | 2012-08-14 | Soraa, Inc. | Optical device structure using non-polar GaN substrates and growth structures for laser applications in 481 nm |
US8634442B1 (en) | 2009-04-13 | 2014-01-21 | Soraa Laser Diode, Inc. | Optical device structure using GaN substrates for laser applications |
US8254425B1 (en) | 2009-04-17 | 2012-08-28 | Soraa, Inc. | Optical device structure using GaN substrates and growth structures for laser applications |
DE112010001615T5 (de) | 2009-04-13 | 2012-08-02 | Soraa, Inc. | Stuktur eines optischen Elements unter Verwendung von GaN-Substraten für Laseranwendungen |
US8416825B1 (en) | 2009-04-17 | 2013-04-09 | Soraa, Inc. | Optical device structure using GaN substrates and growth structure for laser applications |
US8427590B2 (en) | 2009-05-29 | 2013-04-23 | Soraa, Inc. | Laser based display method and system |
US9800017B1 (en) | 2009-05-29 | 2017-10-24 | Soraa Laser Diode, Inc. | Laser device and method for a vehicle |
US9250044B1 (en) | 2009-05-29 | 2016-02-02 | Soraa Laser Diode, Inc. | Gallium and nitrogen containing laser diode dazzling devices and methods of use |
US8247887B1 (en) | 2009-05-29 | 2012-08-21 | Soraa, Inc. | Method and surface morphology of non-polar gallium nitride containing substrates |
US8509275B1 (en) | 2009-05-29 | 2013-08-13 | Soraa, Inc. | Gallium nitride based laser dazzling device and method |
US10108079B2 (en) | 2009-05-29 | 2018-10-23 | Soraa Laser Diode, Inc. | Laser light source for a vehicle |
US9829780B2 (en) | 2009-05-29 | 2017-11-28 | Soraa Laser Diode, Inc. | Laser light source for a vehicle |
JP5250490B2 (ja) * | 2009-06-24 | 2013-07-31 | 株式会社日立ハイテクノロジーズ | プラズマ処理装置及びそのメンテナンス方法 |
JP5457109B2 (ja) | 2009-09-02 | 2014-04-02 | 東京エレクトロン株式会社 | プラズマ処理装置 |
US8314429B1 (en) | 2009-09-14 | 2012-11-20 | Soraa, Inc. | Multi color active regions for white light emitting diode |
US8355418B2 (en) | 2009-09-17 | 2013-01-15 | Soraa, Inc. | Growth structures and method for forming laser diodes on {20-21} or off cut gallium and nitrogen containing substrates |
US8750342B1 (en) | 2011-09-09 | 2014-06-10 | Soraa Laser Diode, Inc. | Laser diodes with scribe structures |
DE112010003700T5 (de) | 2009-09-18 | 2013-02-28 | Soraa, Inc. | Power-leuchtdiode und verfahren mit stromdichtebetrieb |
US9583678B2 (en) | 2009-09-18 | 2017-02-28 | Soraa, Inc. | High-performance LED fabrication |
US8933644B2 (en) | 2009-09-18 | 2015-01-13 | Soraa, Inc. | LED lamps with improved quality of light |
US9293644B2 (en) | 2009-09-18 | 2016-03-22 | Soraa, Inc. | Power light emitting diode and method with uniform current density operation |
TWI431149B (zh) * | 2009-12-24 | 2014-03-21 | Lig Adp Co Ltd | 化學氣相沈積設備及其控制方法 |
US10147850B1 (en) | 2010-02-03 | 2018-12-04 | Soraa, Inc. | System and method for providing color light sources in proximity to predetermined wavelength conversion structures |
US8905588B2 (en) | 2010-02-03 | 2014-12-09 | Sorra, Inc. | System and method for providing color light sources in proximity to predetermined wavelength conversion structures |
US9927611B2 (en) | 2010-03-29 | 2018-03-27 | Soraa Laser Diode, Inc. | Wearable laser based display method and system |
US20110247556A1 (en) * | 2010-03-31 | 2011-10-13 | Soraa, Inc. | Tapered Horizontal Growth Chamber |
US20110256692A1 (en) * | 2010-04-14 | 2011-10-20 | Applied Materials, Inc. | Multiple precursor concentric delivery showerhead |
US8790791B2 (en) | 2010-04-15 | 2014-07-29 | Southwest Research Institute | Oxidation resistant nanocrystalline MCrAl(Y) coatings and methods of forming such coatings |
US8451876B1 (en) | 2010-05-17 | 2013-05-28 | Soraa, Inc. | Method and system for providing bidirectional light sources with broad spectrum |
DE102010027224A1 (de) * | 2010-07-15 | 2012-01-19 | Forschungszentrum Jülich GmbH | Elektrode zur Erzeugung eines Plasmas, Plasmakammer mit dieser Elektrode und Verfahren zur in situ-Analyse oder -in situ-Bearbeitung einer Schicht oder des Plasmas |
JP5385875B2 (ja) * | 2010-08-26 | 2014-01-08 | 東京エレクトロン株式会社 | プラズマ処理装置及び光学モニタ装置 |
JP5730521B2 (ja) * | 2010-09-08 | 2015-06-10 | 株式会社日立ハイテクノロジーズ | 熱処理装置 |
US8816319B1 (en) | 2010-11-05 | 2014-08-26 | Soraa Laser Diode, Inc. | Method of strain engineering and related optical device using a gallium and nitrogen containing active region |
US8975615B2 (en) | 2010-11-09 | 2015-03-10 | Soraa Laser Diode, Inc. | Method of fabricating optical devices using laser treatment of contact regions of gallium and nitrogen containing material |
US9048170B2 (en) | 2010-11-09 | 2015-06-02 | Soraa Laser Diode, Inc. | Method of fabricating optical devices using laser treatment |
US10283321B2 (en) | 2011-01-18 | 2019-05-07 | Applied Materials, Inc. | Semiconductor processing system and methods using capacitively coupled plasma |
US9595813B2 (en) | 2011-01-24 | 2017-03-14 | Soraa Laser Diode, Inc. | Laser package having multiple emitters configured on a substrate member |
US9318875B1 (en) | 2011-01-24 | 2016-04-19 | Soraa Laser Diode, Inc. | Color converting element for laser diode |
US9025635B2 (en) | 2011-01-24 | 2015-05-05 | Soraa Laser Diode, Inc. | Laser package having multiple emitters configured on a support member |
US9093820B1 (en) | 2011-01-25 | 2015-07-28 | Soraa Laser Diode, Inc. | Method and structure for laser devices using optical blocking regions |
US9236530B2 (en) | 2011-04-01 | 2016-01-12 | Soraa, Inc. | Miscut bulk substrates |
US9287684B2 (en) | 2011-04-04 | 2016-03-15 | Soraa Laser Diode, Inc. | Laser package having multiple emitters with color wheel |
US9511572B2 (en) | 2011-05-25 | 2016-12-06 | Southwest Research Institute | Nanocrystalline interlayer coating for increasing service life of thermal barrier coating on high temperature components |
US9646827B1 (en) | 2011-08-23 | 2017-05-09 | Soraa, Inc. | Method for smoothing surface of a substrate containing gallium and nitrogen |
US8971370B1 (en) | 2011-10-13 | 2015-03-03 | Soraa Laser Diode, Inc. | Laser devices using a semipolar plane |
US9020003B1 (en) | 2012-03-14 | 2015-04-28 | Soraa Laser Diode, Inc. | Group III-nitride laser diode grown on a semi-polar orientation of gallium and nitrogen containing substrates |
US8796151B2 (en) | 2012-04-04 | 2014-08-05 | Ultratech, Inc. | Systems for and methods of laser-enhanced plasma processing of semiconductor materials |
US10559939B1 (en) | 2012-04-05 | 2020-02-11 | Soraa Laser Diode, Inc. | Facet on a gallium and nitrogen containing laser diode |
US9343871B1 (en) | 2012-04-05 | 2016-05-17 | Soraa Laser Diode, Inc. | Facet on a gallium and nitrogen containing laser diode |
US9800016B1 (en) | 2012-04-05 | 2017-10-24 | Soraa Laser Diode, Inc. | Facet on a gallium and nitrogen containing laser diode |
US9088135B1 (en) | 2012-06-29 | 2015-07-21 | Soraa Laser Diode, Inc. | Narrow sized laser diode |
US8648315B1 (en) * | 2012-08-14 | 2014-02-11 | Transmute, Inc. | Accelerator having a multi-channel micro-collimator |
US9184563B1 (en) | 2012-08-30 | 2015-11-10 | Soraa Laser Diode, Inc. | Laser diodes with an etched facet and surface treatment |
US9132436B2 (en) | 2012-09-21 | 2015-09-15 | Applied Materials, Inc. | Chemical control features in wafer process equipment |
US10256079B2 (en) | 2013-02-08 | 2019-04-09 | Applied Materials, Inc. | Semiconductor processing systems having multiple plasma configurations |
US9536710B2 (en) * | 2013-02-25 | 2017-01-03 | Applied Materials, Inc. | Tunable gas delivery assembly with internal diffuser and angular injection |
US9362130B2 (en) | 2013-03-01 | 2016-06-07 | Applied Materials, Inc. | Enhanced etching processes using remote plasma sources |
US20140267003A1 (en) * | 2013-03-14 | 2014-09-18 | Fresenius Medical Care Holdings, Inc. | Wireless controller to navigate and activate screens on a medical device |
US10288881B2 (en) * | 2013-03-14 | 2019-05-14 | Fresenius Medical Care Holdings, Inc. | Wearable interface for remote monitoring and control of a medical device |
US9166372B1 (en) | 2013-06-28 | 2015-10-20 | Soraa Laser Diode, Inc. | Gallium nitride containing laser device configured on a patterned substrate |
US9379525B2 (en) | 2014-02-10 | 2016-06-28 | Soraa Laser Diode, Inc. | Manufacturable laser diode |
US9368939B2 (en) | 2013-10-18 | 2016-06-14 | Soraa Laser Diode, Inc. | Manufacturable laser diode formed on C-plane gallium and nitrogen material |
US9520695B2 (en) | 2013-10-18 | 2016-12-13 | Soraa Laser Diode, Inc. | Gallium and nitrogen containing laser device having confinement region |
US9362715B2 (en) | 2014-02-10 | 2016-06-07 | Soraa Laser Diode, Inc | Method for manufacturing gallium and nitrogen bearing laser devices with improved usage of substrate material |
US9209596B1 (en) | 2014-02-07 | 2015-12-08 | Soraa Laser Diode, Inc. | Manufacturing a laser diode device from a plurality of gallium and nitrogen containing substrates |
US9871350B2 (en) | 2014-02-10 | 2018-01-16 | Soraa Laser Diode, Inc. | Manufacturable RGB laser diode source |
US9520697B2 (en) | 2014-02-10 | 2016-12-13 | Soraa Laser Diode, Inc. | Manufacturable multi-emitter laser diode |
US9309598B2 (en) | 2014-05-28 | 2016-04-12 | Applied Materials, Inc. | Oxide and metal removal |
US9564736B1 (en) | 2014-06-26 | 2017-02-07 | Soraa Laser Diode, Inc. | Epitaxial growth of p-type cladding regions using nitrogen gas for a gallium and nitrogen containing laser diode |
US9966240B2 (en) * | 2014-10-14 | 2018-05-08 | Applied Materials, Inc. | Systems and methods for internal surface conditioning assessment in plasma processing equipment |
US9355922B2 (en) | 2014-10-14 | 2016-05-31 | Applied Materials, Inc. | Systems and methods for internal surface conditioning in plasma processing equipment |
US9246311B1 (en) | 2014-11-06 | 2016-01-26 | Soraa Laser Diode, Inc. | Method of manufacture for an ultraviolet laser diode |
US11637002B2 (en) | 2014-11-26 | 2023-04-25 | Applied Materials, Inc. | Methods and systems to enhance process uniformity |
US10573496B2 (en) | 2014-12-09 | 2020-02-25 | Applied Materials, Inc. | Direct outlet toroidal plasma source |
US10224210B2 (en) | 2014-12-09 | 2019-03-05 | Applied Materials, Inc. | Plasma processing system with direct outlet toroidal plasma source |
US9653642B1 (en) | 2014-12-23 | 2017-05-16 | Soraa Laser Diode, Inc. | Manufacturable RGB display based on thin film gallium and nitrogen containing light emitting diodes |
US9666677B1 (en) | 2014-12-23 | 2017-05-30 | Soraa Laser Diode, Inc. | Manufacturable thin film gallium and nitrogen containing devices |
TWI604495B (zh) * | 2014-12-26 | 2017-11-01 | A-Sat Corp | Measurement of the gas inlet hole provided on the electrode of the plasma etching apparatus Method, electrode and plasma etching apparatus |
US11257693B2 (en) | 2015-01-09 | 2022-02-22 | Applied Materials, Inc. | Methods and systems to improve pedestal temperature control |
US20160225652A1 (en) | 2015-02-03 | 2016-08-04 | Applied Materials, Inc. | Low temperature chuck for plasma processing systems |
US9728437B2 (en) | 2015-02-03 | 2017-08-08 | Applied Materials, Inc. | High temperature chuck for plasma processing systems |
US11384432B2 (en) * | 2015-04-22 | 2022-07-12 | Applied Materials, Inc. | Atomic layer deposition chamber with funnel-shaped gas dispersion channel and gas distribution plate |
US9523146B1 (en) | 2015-06-17 | 2016-12-20 | Southwest Research Institute | Ti—Si—C—N piston ring coatings |
US9741593B2 (en) | 2015-08-06 | 2017-08-22 | Applied Materials, Inc. | Thermal management systems and methods for wafer processing systems |
US9691645B2 (en) | 2015-08-06 | 2017-06-27 | Applied Materials, Inc. | Bolted wafer chuck thermal management systems and methods for wafer processing systems |
US9349605B1 (en) | 2015-08-07 | 2016-05-24 | Applied Materials, Inc. | Oxide etch selectivity systems and methods |
US11437775B2 (en) | 2015-08-19 | 2022-09-06 | Kyocera Sld Laser, Inc. | Integrated light source using a laser diode |
US10938182B2 (en) | 2015-08-19 | 2021-03-02 | Soraa Laser Diode, Inc. | Specialized integrated light source using a laser diode |
US10879673B2 (en) | 2015-08-19 | 2020-12-29 | Soraa Laser Diode, Inc. | Integrated white light source using a laser diode and a phosphor in a surface mount device package |
US11437774B2 (en) | 2015-08-19 | 2022-09-06 | Kyocera Sld Laser, Inc. | High-luminous flux laser-based white light source |
US10504700B2 (en) | 2015-08-27 | 2019-12-10 | Applied Materials, Inc. | Plasma etching systems and methods with secondary plasma injection |
US9787963B2 (en) | 2015-10-08 | 2017-10-10 | Soraa Laser Diode, Inc. | Laser lighting having selective resolution |
US10504754B2 (en) | 2016-05-19 | 2019-12-10 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US10522371B2 (en) | 2016-05-19 | 2019-12-31 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US9865484B1 (en) | 2016-06-29 | 2018-01-09 | Applied Materials, Inc. | Selective etch using material modification and RF pulsing |
US10651017B2 (en) * | 2016-06-30 | 2020-05-12 | Tokyo Electron Limited | Method for operation instability detection in a surface wave plasma source |
US20180061696A1 (en) * | 2016-08-23 | 2018-03-01 | Applied Materials, Inc. | Edge ring or process kit for semiconductor process module |
US10629473B2 (en) | 2016-09-09 | 2020-04-21 | Applied Materials, Inc. | Footing removal for nitride spacer |
US10546729B2 (en) | 2016-10-04 | 2020-01-28 | Applied Materials, Inc. | Dual-channel showerhead with improved profile |
US9934942B1 (en) | 2016-10-04 | 2018-04-03 | Applied Materials, Inc. | Chamber with flow-through source |
US10163696B2 (en) | 2016-11-11 | 2018-12-25 | Applied Materials, Inc. | Selective cobalt removal for bottom up gapfill |
US10242908B2 (en) | 2016-11-14 | 2019-03-26 | Applied Materials, Inc. | Airgap formation with damage-free copper |
DE102016222311B3 (de) * | 2016-11-14 | 2017-08-24 | Christof-Herbert Diener | Plasmaindikator |
US10026621B2 (en) | 2016-11-14 | 2018-07-17 | Applied Materials, Inc. | SiN spacer profile patterning |
US20180166301A1 (en) * | 2016-12-13 | 2018-06-14 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor manufacturing system |
US10566206B2 (en) | 2016-12-27 | 2020-02-18 | Applied Materials, Inc. | Systems and methods for anisotropic material breakthrough |
US10431429B2 (en) | 2017-02-03 | 2019-10-01 | Applied Materials, Inc. | Systems and methods for radial and azimuthal control of plasma uniformity |
US10319739B2 (en) | 2017-02-08 | 2019-06-11 | Applied Materials, Inc. | Accommodating imperfectly aligned memory holes |
US10943834B2 (en) | 2017-03-13 | 2021-03-09 | Applied Materials, Inc. | Replacement contact process |
US10319649B2 (en) | 2017-04-11 | 2019-06-11 | Applied Materials, Inc. | Optical emission spectroscopy (OES) for remote plasma monitoring |
US11276590B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Multi-zone semiconductor substrate supports |
US11276559B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Semiconductor processing chamber for multiple precursor flow |
US10497579B2 (en) | 2017-05-31 | 2019-12-03 | Applied Materials, Inc. | Water-free etching methods |
US10920320B2 (en) | 2017-06-16 | 2021-02-16 | Applied Materials, Inc. | Plasma health determination in semiconductor substrate processing reactors |
US10541246B2 (en) | 2017-06-26 | 2020-01-21 | Applied Materials, Inc. | 3D flash memory cells which discourage cross-cell electrical tunneling |
US10727080B2 (en) | 2017-07-07 | 2020-07-28 | Applied Materials, Inc. | Tantalum-containing material removal |
US10541184B2 (en) | 2017-07-11 | 2020-01-21 | Applied Materials, Inc. | Optical emission spectroscopic techniques for monitoring etching |
US10354889B2 (en) | 2017-07-17 | 2019-07-16 | Applied Materials, Inc. | Non-halogen etching of silicon-containing materials |
US10043674B1 (en) | 2017-08-04 | 2018-08-07 | Applied Materials, Inc. | Germanium etching systems and methods |
US10170336B1 (en) | 2017-08-04 | 2019-01-01 | Applied Materials, Inc. | Methods for anisotropic control of selective silicon removal |
US10297458B2 (en) | 2017-08-07 | 2019-05-21 | Applied Materials, Inc. | Process window widening using coated parts in plasma etch processes |
US10771155B2 (en) | 2017-09-28 | 2020-09-08 | Soraa Laser Diode, Inc. | Intelligent visible light with a gallium and nitrogen containing laser source |
US11670490B2 (en) | 2017-09-29 | 2023-06-06 | Taiwan Semiconductor Manufacturing Co., Ltd. | Integrated circuit fabrication system with adjustable gas injector |
US10424487B2 (en) | 2017-10-24 | 2019-09-24 | Applied Materials, Inc. | Atomic layer etching processes |
US10283324B1 (en) | 2017-10-24 | 2019-05-07 | Applied Materials, Inc. | Oxygen treatment for nitride etching |
CN108054115B (zh) * | 2017-11-14 | 2021-01-29 | 上海华力微电子有限公司 | 刻蚀腔体的聚合物清洁方法 |
US10256112B1 (en) | 2017-12-08 | 2019-04-09 | Applied Materials, Inc. | Selective tungsten removal |
US10222474B1 (en) | 2017-12-13 | 2019-03-05 | Soraa Laser Diode, Inc. | Lidar systems including a gallium and nitrogen containing laser light source |
US10903054B2 (en) | 2017-12-19 | 2021-01-26 | Applied Materials, Inc. | Multi-zone gas distribution systems and methods |
US11328909B2 (en) | 2017-12-22 | 2022-05-10 | Applied Materials, Inc. | Chamber conditioning and removal processes |
US10854426B2 (en) | 2018-01-08 | 2020-12-01 | Applied Materials, Inc. | Metal recess for semiconductor structures |
US10679870B2 (en) | 2018-02-15 | 2020-06-09 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus |
US10964512B2 (en) | 2018-02-15 | 2021-03-30 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus and methods |
TWI716818B (zh) | 2018-02-28 | 2021-01-21 | 美商應用材料股份有限公司 | 形成氣隙的系統及方法 |
US10593560B2 (en) | 2018-03-01 | 2020-03-17 | Applied Materials, Inc. | Magnetic induction plasma source for semiconductor processes and equipment |
US10319600B1 (en) | 2018-03-12 | 2019-06-11 | Applied Materials, Inc. | Thermal silicon etch |
US10497573B2 (en) | 2018-03-13 | 2019-12-03 | Applied Materials, Inc. | Selective atomic layer etching of semiconductor materials |
US10573527B2 (en) | 2018-04-06 | 2020-02-25 | Applied Materials, Inc. | Gas-phase selective etching systems and methods |
US10490406B2 (en) | 2018-04-10 | 2019-11-26 | Appled Materials, Inc. | Systems and methods for material breakthrough |
US10551728B1 (en) | 2018-04-10 | 2020-02-04 | Soraa Laser Diode, Inc. | Structured phosphors for dynamic lighting |
US10699879B2 (en) | 2018-04-17 | 2020-06-30 | Applied Materials, Inc. | Two piece electrode assembly with gap for plasma control |
US10886137B2 (en) | 2018-04-30 | 2021-01-05 | Applied Materials, Inc. | Selective nitride removal |
US10872778B2 (en) | 2018-07-06 | 2020-12-22 | Applied Materials, Inc. | Systems and methods utilizing solid-phase etchants |
US10755941B2 (en) | 2018-07-06 | 2020-08-25 | Applied Materials, Inc. | Self-limiting selective etching systems and methods |
US10672642B2 (en) | 2018-07-24 | 2020-06-02 | Applied Materials, Inc. | Systems and methods for pedestal configuration |
US11049755B2 (en) | 2018-09-14 | 2021-06-29 | Applied Materials, Inc. | Semiconductor substrate supports with embedded RF shield |
US10892198B2 (en) | 2018-09-14 | 2021-01-12 | Applied Materials, Inc. | Systems and methods for improved performance in semiconductor processing |
US11062887B2 (en) | 2018-09-17 | 2021-07-13 | Applied Materials, Inc. | High temperature RF heater pedestals |
US11417534B2 (en) | 2018-09-21 | 2022-08-16 | Applied Materials, Inc. | Selective material removal |
US11682560B2 (en) | 2018-10-11 | 2023-06-20 | Applied Materials, Inc. | Systems and methods for hafnium-containing film removal |
US11121002B2 (en) | 2018-10-24 | 2021-09-14 | Applied Materials, Inc. | Systems and methods for etching metals and metal derivatives |
US11437242B2 (en) | 2018-11-27 | 2022-09-06 | Applied Materials, Inc. | Selective removal of silicon-containing materials |
US11239637B2 (en) | 2018-12-21 | 2022-02-01 | Kyocera Sld Laser, Inc. | Fiber delivered laser induced white light system |
US11421843B2 (en) | 2018-12-21 | 2022-08-23 | Kyocera Sld Laser, Inc. | Fiber-delivered laser-induced dynamic light system |
US11721527B2 (en) | 2019-01-07 | 2023-08-08 | Applied Materials, Inc. | Processing chamber mixing systems |
US10920319B2 (en) | 2019-01-11 | 2021-02-16 | Applied Materials, Inc. | Ceramic showerheads with conductive electrodes |
US12000552B2 (en) | 2019-01-18 | 2024-06-04 | Kyocera Sld Laser, Inc. | Laser-based fiber-coupled white light system for a vehicle |
US11884202B2 (en) | 2019-01-18 | 2024-01-30 | Kyocera Sld Laser, Inc. | Laser-based fiber-coupled white light system |
KR102117089B1 (ko) * | 2019-02-15 | 2020-05-29 | 세메스 주식회사 | 플라즈마 광 검출 장치 및 이를 구비하는 플라즈마 상태 분석 시스템 |
US11228158B2 (en) | 2019-05-14 | 2022-01-18 | Kyocera Sld Laser, Inc. | Manufacturable laser diodes on a large area gallium and nitrogen containing substrate |
US10903623B2 (en) | 2019-05-14 | 2021-01-26 | Soraa Laser Diode, Inc. | Method and structure for manufacturable large area gallium and nitrogen containing substrate |
US20240153795A1 (en) * | 2022-11-04 | 2024-05-09 | Applied Materials, Inc. | Modular microwave source with integrated optical sensors |
Family Cites Families (26)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPS63283122A (ja) * | 1987-05-15 | 1988-11-21 | Semiconductor Energy Lab Co Ltd | 薄膜作製装置 |
US5045149A (en) * | 1988-10-24 | 1991-09-03 | Vlsi Technology, Inc. | Method and apparatus for end point detection |
US5231464A (en) * | 1990-03-26 | 1993-07-27 | Research Development Corporation Of Japan | Highly directional optical system and optical sectional image forming apparatus employing the same |
JP2998188B2 (ja) | 1990-10-08 | 2000-01-11 | 松下電器産業株式会社 | 給湯装置 |
EP0511448A1 (en) * | 1991-04-30 | 1992-11-04 | International Business Machines Corporation | Method and apparatus for in-situ and on-line monitoring of a trench formation process |
JPH04333230A (ja) | 1991-05-08 | 1992-11-20 | Kokusai Electric Co Ltd | プラズマエッチング装置に於けるエッチング終点検出装置 |
JPH05136098A (ja) | 1991-11-15 | 1993-06-01 | Seiko Epson Corp | 半導体装置の製造装置及び半導体装置の製造方法 |
KR100276093B1 (ko) * | 1992-10-19 | 2000-12-15 | 히가시 데쓰로 | 플라스마 에칭방법 |
KR100276736B1 (ko) * | 1993-10-20 | 2001-03-02 | 히가시 데쓰로 | 플라즈마 처리장치 |
TW357404B (en) * | 1993-12-24 | 1999-05-01 | Tokyo Electron Ltd | Apparatus and method for processing of plasma |
US5759424A (en) * | 1994-03-24 | 1998-06-02 | Hitachi, Ltd. | Plasma processing apparatus and processing method |
JP3381407B2 (ja) * | 1994-09-28 | 2003-02-24 | ソニー株式会社 | プラズマモニタ装置およびプラズマモニタ方法 |
US6159297A (en) * | 1996-04-25 | 2000-12-12 | Applied Materials, Inc. | Semiconductor process chamber and processing method |
JP3329685B2 (ja) * | 1996-05-16 | 2002-09-30 | 株式会社東芝 | 計測装置および計測方法 |
US5846883A (en) * | 1996-07-10 | 1998-12-08 | Cvc, Inc. | Method for multi-zone high-density inductively-coupled plasma generation |
EP0841692A3 (en) * | 1996-11-08 | 1998-12-23 | Matsushita Electric Industrial Co., Ltd. | Apparatus and method for optical evaluation of a semiconductor device |
USRE39969E1 (en) * | 1997-04-11 | 2008-01-01 | Tokyo Electron Limited | Processing system |
JP2953426B2 (ja) * | 1997-05-01 | 1999-09-27 | 日本電気株式会社 | Lsi製造用プロセス装置 |
JPH11233492A (ja) | 1998-02-06 | 1999-08-27 | Tokyo Electron Ltd | プラズマ処理装置のプラズマ光の検出窓 |
JP3411814B2 (ja) * | 1998-03-26 | 2003-06-03 | 東京エレクトロン株式会社 | プラズマ処理装置 |
JPH11283963A (ja) * | 1998-03-27 | 1999-10-15 | Hitachi Ltd | 半導体製造装置およびそれを用いた半導体装置の製造方法 |
US6390019B1 (en) * | 1998-06-11 | 2002-05-21 | Applied Materials, Inc. | Chamber having improved process monitoring window |
JP4162773B2 (ja) * | 1998-08-31 | 2008-10-08 | 東京エレクトロン株式会社 | プラズマ処理装置および検出窓 |
JP3923212B2 (ja) * | 1999-04-15 | 2007-05-30 | 東京エレクトロン株式会社 | 真空処理装置 |
JP4307628B2 (ja) * | 1999-05-19 | 2009-08-05 | キヤノンアネルバ株式会社 | Ccp反応容器の平板型ガス導入装置 |
JP4055880B2 (ja) | 1999-06-02 | 2008-03-05 | 東京エレクトロン株式会社 | プラズマ処理装置、プラズマ処理監視用窓部材及びプラズマ処理装置用の電極板 |
-
2001
- 2001-02-20 TW TW090103833A patent/TW580735B/zh not_active IP Right Cessation
- 2001-02-20 KR KR1020010008517A patent/KR100545034B1/ko active IP Right Grant
- 2001-02-21 US US09/788,463 patent/US6755932B2/en not_active Expired - Lifetime
-
2003
- 2003-12-11 US US10/732,285 patent/US7169254B2/en not_active Expired - Lifetime
- 2003-12-11 US US10/732,286 patent/US6923885B2/en not_active Expired - Lifetime
-
2004
- 2004-03-29 US US10/810,598 patent/US20040177925A1/en not_active Abandoned
-
2007
- 2007-07-19 US US11/780,014 patent/US7686917B2/en not_active Expired - Fee Related
Cited By (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN105431566A (zh) * | 2013-03-14 | 2016-03-23 | 卡迪奈尔镀膜玻璃公司 | 等离子体发射监测仪及工艺气体输送系统 |
Also Published As
Publication number | Publication date |
---|---|
KR20010083202A (ko) | 2001-08-31 |
US6923885B2 (en) | 2005-08-02 |
US6755932B2 (en) | 2004-06-29 |
US20080011422A1 (en) | 2008-01-17 |
US7169254B2 (en) | 2007-01-30 |
US20010015175A1 (en) | 2001-08-23 |
US20040177925A1 (en) | 2004-09-16 |
US20040118518A1 (en) | 2004-06-24 |
KR100545034B1 (ko) | 2006-01-24 |
US7686917B2 (en) | 2010-03-30 |
US20040118517A1 (en) | 2004-06-24 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
TW580735B (en) | Plasma treatment apparatus and treating method of sample material | |
US8002946B2 (en) | Mask etch plasma reactor with cathode providing a uniform distribution of etch rate | |
US6390019B1 (en) | Chamber having improved process monitoring window | |
US6503364B1 (en) | Plasma processing apparatus | |
EP0743671A2 (en) | Method and apparatus for plasma processing apparatus | |
JP3643540B2 (ja) | プラズマ処理装置 | |
US7967930B2 (en) | Plasma reactor for processing a workpiece and having a tunable cathode | |
US20210319984A1 (en) | Method and aparatus for low particle plasma etching | |
JPH09106900A (ja) | プラズマ処理方法及びプラズマ処理装置 | |
US8012366B2 (en) | Process for etching a transparent workpiece including backside endpoint detection steps | |
US20080099437A1 (en) | Plasma reactor for processing a transparent workpiece with backside process endpoint detection | |
KR101020075B1 (ko) | 유도 결합 플라즈마 반응기 | |
JP4018959B2 (ja) | プラズマ処理装置 | |
JP4098711B2 (ja) | プラズマ処理装置 | |
JP2000235972A (ja) | プラズマ処理装置 | |
JP4109020B2 (ja) | プラズマ処理装置 | |
KR200461690Y1 (ko) | 캐소드 리프트 핀 어셈블리를 구비한 마스크 식각 플라즈마반응기 | |
KR20080003158U (ko) | 노즐들 및 가변 가능한 공정 가스 분배를 구비한 플라즈마반응기 | |
JP2000199059A (ja) | スパッタ方法及びスパッタ装置 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
MK4A | Expiration of patent term of an invention patent |