TW541351B - Method of depositing transition metal nitride thin films - Google Patents

Method of depositing transition metal nitride thin films Download PDF

Info

Publication number
TW541351B
TW541351B TW089121352A TW89121352A TW541351B TW 541351 B TW541351 B TW 541351B TW 089121352 A TW089121352 A TW 089121352A TW 89121352 A TW89121352 A TW 89121352A TW 541351 B TW541351 B TW 541351B
Authority
TW
Taiwan
Prior art keywords
scope
patent application
item
tungsten
group
Prior art date
Application number
TW089121352A
Other languages
English (en)
Inventor
Kai-Erik Elers
Suvi Haukka
Ville Saanila
Sari Kaipio
Pekka J Soininen
Original Assignee
Asm Microchemistry Oy
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Asm Microchemistry Oy filed Critical Asm Microchemistry Oy
Application granted granted Critical
Publication of TW541351B publication Critical patent/TW541351B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B29/00Single crystals or homogeneous polycrystalline material with defined structure characterised by the material or by their shape
    • C30B29/10Inorganic compounds or compositions
    • C30B29/38Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/32Carbides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45531Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making ternary or higher compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45534Use of auxiliary reactants other than used for contributing to the composition of the main film, e.g. catalysts, activators or scavengers
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B29/00Single crystals or homogeneous polycrystalline material with defined structure characterised by the material or by their shape
    • C30B29/02Elements
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B29/00Single crystals or homogeneous polycrystalline material with defined structure characterised by the material or by their shape
    • C30B29/10Inorganic compounds or compositions
    • C30B29/36Carbides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • H01L21/76856After-treatment introducing at least one additional element into the layer by treatment in plasmas or gaseous environments, e.g. nitriding a refractory metal liner

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Mechanical Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)

Description

541351 經濟部智慧財產局員工消費合作社印製 A7 ___B7五、發明説明(1 ) 發明範圍 本發明係關於金屬氮化物薄膜。特別地,本發明係關 於一種藉原子層沉積法(下文中稱爲A L D )使氮化鎢薄 膜生長的方法。 相關技術之描述 積體電路中之組件的積體程度提高,此迅速帶動降低 組件尺寸和內部通路之尺寸的需求。設計規格設定的尺寸 是S 0 · 2微米。難以得到覆蓋深底部和通道之完整的膜 〇 積體電路所含的內部通路通常製自鋁或銅。特定言之 ,銅易於擴散至環繞的材料。擴散影響電路的電力性質, 活性組件的功能會不正常。以導電性擴散屏障層防止金屬 自內部通路擴散進入裝置的活性組件中。較佳的擴散屏障 是,如··非晶狀過渡金屬氮化物,如:T i N、T a N和 W N。因爲氮位於晶格的間隙位置,所以此氮化物可以不 符合化學計量。 化學蒸鍍法(下文中稱爲CVD)中,來源材料基本 上一起引至反應空間中,它們在與底質接觸時彼此反應。 也可以將一種含所有所欲反應物種的來源材料引至C V D 反應器中,並加熱至其熱分解溫度。受熱氣體與底質表面 接觸時,發生裂解反應且膜生長。由前述討論可以知道, 在C V D中,反應空間中的不同來源材料濃度決定膜之生 長。 (請先閲讀背面之注意事項再填寫本頁) 本紙張尺度適用中國國家標準(CNS ) A4規格(21〇、〆297公釐) -4- 541351 A7 B7 經濟部智慧財產局員工消費合作社印製 五、發明説明(2 ) 原子層沉積法(ALD)和原子層磊晶法(ALE) 是先進的C V D法。在討論多晶狀和非晶狀薄膜時,方法 的名稱由A L E改爲A L D以免混淆。a L D法以連續的 自身飽和表面反應爲基礎。此方法詳述於美國專利案第 4,058,430和5,711,811號。此反應器設計使用使得系統迅速 運作的惰性載體和滌氣氣體。 以惰性氣體使來源化學品彼此隔離,以免氣體反應物 之間發生氣相反應並有助於膜生長的自身飽和表面反應, 此反應不須嚴格控制底質溫度,也不須嚴格控制來源化學 品用量。通常在下一反應性化學品脈衝引至反應槽中之前 ,自反應槽移除過剩的化學品和反應副產物。藉由使用惰 性滌氣氣體,使得氣體流率維持高流率,以有效率地自反 應槽排出所不欲的氣相分子。滌氣氣體將過剩的分子推至 用以維持反應槽中之適當壓力的真空幫浦。A L D提出用 於膜生長之極佳和自動的自身控制。 A L D近來曾被用以沉積氮化鈦T i N單層(H.Jeon, J.W.Lee, J.H.Koo,Y.S.Kim, Y.D.Kim5D.S.Kim, vv A Study on the Characteristics of TiN Thin Film Deposited by Atomic Layer Chemical Vapor Deposition method (藉原子層化學蒸鍍 法沉積之T i N薄膜的性質之硏究)〃,AVS 46th International Symposium, abstract TF-MoP 17, http://www.vacuum.org/symposium/seattle/technical.html J 美 國西雅圖1999年10月27日)。 根據Hiltumen等人,使用金屬鹵化物作爲來源化學品 (請先閱讀背面之注意事項再填寫本頁) 本紙張尺度適用中.國國家標準(CNS ) A4規格(210 X 297公釐) -5 - 541351 A7 B7 經濟部智慧財產局員工消費合作社印製 五、發明説明(3 ) ,NbN、TaN、Ta3N5、MoN和Mo2N可藉 A L D 生長(L.Hiltunen,M. Leskala,M.Makela,L.Niinisto, E. Nykanen, P.Soininen, vv Nitrides of Titanium, Niobium, Tantalum and Molybdenum Growth as Thin Filmes by the Atomic Layer Epitaxy Method (藉原子層取向附生法使i太、 鈮、鉅和鉬之氮化物生長成薄膜)〃 ,Thin Solid Films, 1 66 ( 1988) 149- 1 54 )。在蒸鍍期間內使用額外鋅蒸汽會因 爲提高金屬/氮比例或因爲自膜移除氧而降低氮化物膜的 電阻。 J.W.Klaus提出一種使用A L D法使氮化鎢膜生長的方 法(J.W.Klaus, VN Atomic Layer Deposition of Tungsten and Nitride Using Sequential Surface Reactions (使用連續表面反 應進行鎢和氮化物之原子層沉積)〃,AVS 46th International Symposium, abstract TF-TuMe, http://www.vacuum.org/symposium/seattle/technical.html,美 國西雅圖1999年10月27日)。此方法中,氮化鎢W 2 N 自W F 6和Ν Η 3生長。 此技術中,使用氫(Η 2 )(美國專利案第5,342,652 號和EP-A2-899 779 )、矽烷(如:S i Η 4 )(美國專利 案第5,691,235號)和氯矽烷(如:S i H C 1 3 )(美國 專利案第5,723,384號)還原鎢化合物。 但是,這些以前技術的方法有一些缺點。矽烷也會與 W F 6反應,並因此形成砂化鎢W S i 2。氫會將鎢化合物 還原成鎢金屬,其蒸汽壓過低,無法以氣相轉運至底晳上 (請先閱讀背面之注意事項再填寫本頁) 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) -6 - 541351 經濟部智慈財產局員工消費合作社印製 A7 _____B7_五、發明説明(4 ) 。傳統C V D法會在薄膜中留下大量雜質,於低沉積溫度 特別是如此。 發明槪述 本發明的一個目的是要消除以前技術的問題及提出一 種新穎之藉A L D方式沉積過渡金屬氮化物薄膜的方法。 本發明的另一目的是要提出一種用以製備位於積體電路金 屬表面上之擴散屏障的方法。 訝異地發現到:將適當的過渡金屬化合物、還原性硼 化合物和氮化合物引至含底質的反應槽中,會有電阻値低 的金屬氮化物膜生長。根據本發明,氣態硼化合物和金屬 物種之間之反應還原此金屬化合物並形成氣相反應副產物 ,此副產物容易自反應空間中移出。 根據本發明的一個較佳實施例,此金屬氮化物薄膜藉 A L D型方法生長。此藉連續將適當過渡金屬化合物脈衝 、還原性硼化合物脈衝和氮化合物引至含底質的反應槽中 的方式實施,該硼化合物和該氮化合物於金屬化合物之後 引入。因此,電阻値低的金屬氮化物膜可以根據A L D法 原理生長。根據本發明,氣相硼化合物和結合於表面的金 屬物種之間之反應會還原金屬化合物及形成氣態反應副產 物,此副產物容易自反應空間中移出。 製造積體電路期間內,藉沉積法在積體電路中以金屬 氮化物薄膜在位於矽晶片上的介電表面或金屬表面上生成 擴散屏障。 (請先閲讀背面之注意事項再填寫本頁) 本紙張尺度適用中國國家標準(CNS ) A4規格(210X 297公釐) 541351 A7 B7 五、發明説明(5 ) 更特定言之,本發明之特徵在於申請專利範圍第1項 所述者。 (請先閲讀背面之注意事項再填寫本頁) 用以製備擴散屏障的方法之特徵在於申請專利範圍第 2 0項所述者。 藉本發明之助而獲致多個優點。金屬氮化物薄膜(特 別是氮化鎢薄膜)可於低溫生長。作爲來源材料的硼化合 物是容易處理和蒸發者。 如前述者,硼化合物(金屬物種和還原性硼化合物之 間的反應副產物)基本上是氣體,以惰性氣體滌氣時,它 們容易離開反應器。膜中的硼殘渣量非常低,基本上低於 5重量%,以1重量%或以下爲佳,特別是〇 . 5重量% 或以下。膜的電阻値低。膜的生長速率可被接受。反應時 間亦短,因此,藉由本發明,此膜非常有效率地生長。 以本發明生長的膜具良好薄膜性質。因此,藉A L D 法得到的金屬氮化物膜的一致性極佳,即使於不均勻表面 和在溝槽和通道上亦然。此方法也提供膜生長的極佳和自 動自身控制。 經濟部智慧財產局員工消費合作社印製 藉本發明生長的金屬氮化物薄膜可用於,如:作爲積 體電路中的離子擴散屏障層。氮化鎢有效地中止氧並提高 金屬氧化物電容器的穩定性。過渡金屬氮化物(特別是氮 化鎢)亦適合作爲金屬的黏合層,在薄膜電阻器中,用以 中止錫遷移通過通道孔及改善積體電路的高溫加工性質。 之後將藉詳細描述和附圖之助地詳細說明本發明。 本紙張尺度適用中國國家標準(CNS ) A4規格(210 X 297公釐) -8 - 541351 A7 B7 五、發明説明(6 ) 附圖簡述 (讀先閱讀背面之注意事項再填寫本頁) 附圖1所示者是根據本發明之較佳實施例的輸入順序 的方塊圖。 發明詳述 定義 本發明中,v'化學氣相蒸鍍法〃是指反應物以蒸汽相 餵至反應空間中的沉積法。這樣的方法的例子包括c V D 和 A L D。 本發明中,> A L D型方法〃是指以連續自身飽和表 面反應爲基礎,蒸汽化的材料沉積在表面上的方法。 A L D法的原理揭示於,如:US 4 058 430。 ''反應空間〃是指可調整條件以進行A L D沉積的反 應器或反應槽。 經濟部智慧財產局員工消費合作社印製 此處所謂的''薄膜〃是指自元素或化合物上以離子、 原子或分子形式經由真空、氣相或液相自來源運送至底質 上而生長的膜。膜厚度視施用方式而定,於一寬範圍中變 動,如:由1個分子層至800奈米,甚至高至1 〇〇〇 奈米。 沉積法 根據本發明,金屬氮化物薄膜藉A L D型法製得。 根據C V D法,膜於提高溫度在置於反應槽中的底質 上生長。嫻於此技術者瞭解C V D原理。雖然可以改變不 本纸張尺度適用中.國國家標準(CNS ) A4規格(210X297公釐) ~ 9 - 541351 A7 B7 五、發明説明(7 ) 同物種的脈衝’但基本上,金屬來源材料、氮來源材料和 還原性化合物同時引至反應空間中。也可以將包含氮和金 屬的來源材料與還原性硼化合物一起引至反應空間中。 (請先閲讀背面之注意事項再填寫本頁} 根據本發明,以A L D法製得金屬氮化物薄膜。因此 ,置於反應槽中的底質進行至少兩種蒸汽相反應物之連續 、交替重覆表面反應,以於其上生長薄膜。作爲來源材料 的金屬化合物在維持於高溫之底質上被硼化合物所還原。 另一情況中,膜中不摻入此硼化合物。還原的金屬物種在 表面上與氣相或揮發性氮來源材料反應。 調整反應空間中的條件,使得氣相反應(即,氣態反 應物之間的反應)不致於發生,僅發生表面反應(即,吸 附於底質表面上的物種與氣相反應物之間之反應)。因此 ,還原性硼化合物分子與在表面上之沉積的金屬化合物層 反應,氮來源材料與在表面上之還原的金屬化合物反應。 經濟部智慧財產局員工消費合作社印製 根據本發明,金屬來源材料和還原劑的蒸汽相脈衝交 替且連續進入反應空間,並與反應空間中之底質表面接觸 。底質 ''表面〃包含真實底質材料的表面,此表面視情況 地經過事前處理,如:藉由與化學品接觸以修飾其表面性 質。薄膜生長期間內,之前的金屬氮化物層形成供之後的 金屬氮化物層使用的表面。物劑以藉惰性載氣(如:氮) 餵入反應器中爲佳。 較佳情況中,及欲使方法之進行更爲迅速時,金屬來 源材料脈衝、還原性硼化合物脈衝和氮來源材料脈衝彼此 以惰性氣體(亦稱爲滌氣氣體)脈衝分隔,以便將反應空 本紙張尺度適用中國國家標準(CNS ) A4規格(210X 297公釐) -10- 541351 經濟部智慧財產局員工消費合作社印製 A7 ____B7五、發明説明(8 ) 間中之先前化學品之未反應掉的殘餘物滌除。惰性滌氣氣 體基本上包含非活性氣體(如:氮)或惰性氣體(如:氬 )〇 因此,一個脈衝順序(亦稱爲a循環〃)以基本上含 括下列者爲佳: - 藉惰性載氣之助,將金屬來源化學品的蒸汽相脈 衝餵至反應空間中; - 以惰性氣體對反應空間滌氣; - 藉惰性載氣之助,將硼來源化學品的蒸汽相脈衝 餵至反應空間中; - 以惰性氣體對反應空間滌氣; - 將氮來源材料的蒸汽相脈衝餵至反應空間中;及 - 以惰性氣體對反應空間滌氣。 各循環的滌氣時間選擇長至足以避免氣相反應及避免 過渡金屬氮化物薄膜生長速率高於該氮化物之晶格常數。 此沉積可於常壓進行,但以於低壓操作爲佳。反應器 中的壓力基本上是0 · 01 — 20毫巴,以0 . 1 — 5毫 巴爲佳。底質溫度低至足以維持薄膜原子.間的鍵結完整及 避免氣態反應物熱分解的溫度。另一方面,底質溫度必須 高至足以使來源材料維持氣相,即,必須避免氣態反應物 之冷凝。此外,溫度必須高至足以提供用於表面反應之活 化能量。視反應物和壓力而定,底質溫度基本上是2 0 0 —7 0 0 °C,以 2 5 0 — 5 0 CTC 爲佳。 這些條件中,與表面結合的反應物量由表面決定。將 (請先閲讀背面之注意事項再填寫本頁) 本紙張尺度適用中.國國家標準(CNS ) A4規格(210X297公釐) -11 - 541351 Α7 Β7 五、發明説明(9 ) 此現象稱爲 > 自身飽和"。 (請先閲讀背面之注意事項再填寫本頁) 吸附單層金屬來源化學分子時,可得到底質表面的最 大覆蓋率。重覆脈衝順序直到生長出預定厚度的金屬氮化 物膜。 來源溫度以設定低於底質溫度爲佳。此基於:如果來 源化學品蒸汽的分壓超過底質溫度的冷凝限制,將會損及 薄膜受控制的層-層生長。 用於自身飽和反應的時間大部分受限於經濟因素,如 :產物自反應器輸出的所欲輸出量。以相當少次的脈衝循 環製得非常薄的膜,一些情況中,此有利於提高化學品來 源脈衝時間並因此有利於使化學品來源以低於常態蒸汽壓 的情況使用。 底質可爲各種類型。例子包括矽、矽石、經塗覆的矽 、銅金屬和多種氮化物,如:金屬氮化物。傳統上,先前 沉積的薄膜層會成爲下一薄膜生長的底質表面。 經濟部智慧財產局員工消費合作社印製 本方法用以在形狀有挑戰性的應用上生長一致的薄膜 層。如前述者,可以在積體表面的介電(如:矽石或氮化 物)或金屬(如:銅)表面上製得擴散屏障。這些情況中 ,該表面形成用以生長金屬氮化物薄膜的底質。 有某些活性基團位於表面上時,金屬來源材料更容易 附於氮化物表面。下列者是六氟化鎢(W F 6 )附於矽晶片 上之建議的反應式。 矽晶片頂部有原有的氧化物。矽石(S i 0 2 )層可以 僅爲幾個分子層厚。砂石表面上有v'0 Η 〃基團可作爲反 本紙張尺度適用中.國國家標準(CNS ) Α4規格(210Χ 297公釐) -12- 541351 A7 B7 經濟部智慧財產局員工消費合作社印製 五、發明説明(10 ) 應性表面位址。 WFe (g) + HO —(吸附)_^WF5-〇一 (吸附)+ H F ( g ) ( R 1 ) 此生長法中’金屬來源化合物附著於氮化物表面。關 於W F 6的建議反應式列於(R 2 )和(r 3 )。 WF6 (g) +H — N=(吸附wf5 — N = (吸附)+ H F ( g ) ( R 2 ) WFe (g) + H2N -(吸附)->WF5 - NH — ,(吸附)+ H F ( g ) ( R 3 ) 重要的是小心地使程序參數爲最適値,以免因爲產生 的H F氣體攻擊矽石並形成揮發性四氟化矽而使得矽晶片 腐触(特別是氣化物生成的第一^相期間內)。 Si〇2(s)+4HF (g)->SiF4(g) + 2 Η 2 Ο ( g ) ( R 4 ) 未被覆蓋的矽會有進一步的非所欲反應。 最典型的金屬來源材料是過渡金屬(即,根據 IUPAC之元素週期表的第3、4、5、6、7、8、 9、1 0、1 1和/或1 2族元素)的揮發性或氣體化合 物。特別地,此膜基本上由W、T i、Z r、H f、V、 Nb、Ta、Cr和/或Μ 0氮化物構成,因此本發明之 方法以使用這些的氣態或揮發性化合物爲佳。 因爲各金屬化合物的性質不同,所以必須考慮用於本 發明之方法的各金屬化合物之適用性。化合物的性質見於 J : N.N.Greenwood and A.Earnshaw, Chemistry of the (請先閲讀背面之注意事項再填寫本頁) 本紙張尺度適用中國國家標準(CNS ) A4規格(210X 297公釐) ,13- 541351 A7 B7 五、發明説明(11 )
Elements, 1st edition,Pergamon Press, 1986 〇 必須選擇金屬來源材料(及還原性硼化合物和氮來源 材料)以符合足夠的蒸汽壓要求,須滿足前面所討論之於 底質溫度之熱安定性足夠和化合物之反應性足夠的標準。 蒸汽壓足夠意謂接近底質表面處必須有足夠的化學分 子位於氣相中,以有助於表面上進行夠快的自身飽和反應 〇 基本上,熱安定性足夠意謂化學品來源本身不會在底 質上形成會干擾生長的可冷凝相或者因爲熱分解作用而在 底質表面上留下有害雜質。因此,一個目標是避免分子在 底質上進行非控制的冷凝。 其他選擇標準包括化學品於高純度的可利用性,處理 的容易度,特別是不須嚴格的預防措施。 基本上,適當的金屬來源材料有鹵化物(以氟化物、 氯化物、溴化物或碘化物爲佳)或金屬有機化合物(以所 欲金屬的烷基胺基、環戊二烯基、二硫代胺基甲酸乙酯或 /3 —二酮酸鹽爲佳)。 根據本發明的較佳實施例,氮化鎢(W X N y,下文 中以W N表示)生長。鎢來源化學品是選自前述標準的鎢 化合物。較佳的鎢來源材料選自包含 — 鹵化物,如:W F X、W C 1 y、W B r π 或
Wln,其中,χ、y、111和11是1至6的整數, 特別是W F 6 ; 一 羰基,如··六羰基鎢W(C〇)6或三羰基(1 本紙張尺度適用中國國家標準(CMS ) A4規格(210'〆297公釐) I I J---_----^裝-- (請先閱讀背面之注意事項再填寫本頁) 訂 經濟部智慧財產局員工涓費合作社印製 -14- 541351 經濟部智慧財產局員工消費合作社印^ A7 _B7_五、發明説明(12 ) ,3 ,5 —三甲基苯)鎢; 一 環戊二烯基,如:雙(環戊二烯基)二氫化鎢、 雙(環戊二烯基)二氯化鎢或雙(環戊二烯基) 六羰基二鎢;及 一 /3 -二酮酸鹽。 根據較佳實施例,混合過渡金屬氮化物,使得在生長 法中,使用二或多種不同的金屬來源材料。例如’氮化鎢 可以與T i N混合。 金屬反應物會與底質表面反應,與表面鍵結基團形成 (共價)鍵。吸附的金屬物種會含有反應劑化合物渣質, 如:鹵素或烴。根據本發明,此渣質與氣相硼化合物反應 ,此還原表面上的金屬化合物。 硼化合物的還原強度不定。因此,一些硼化合物會將 金屬化合物還原成金屬元素,一些會還原至某些氧化態。 重要的是亦能夠以其元素形式與氮化合物反應的那些金屬 被還原成金屬。基本上,金屬來源化合物的氧化態降低, 使得表面上的金屬爲化合物形式。此金屬化合物與氮來源 材料反應,無困難地形成金屬氮化物。 硼來源選自與金屬來源材料相同標準。通常,硼化合 物可以是能夠還原與表面結合之金屬物種的任何揮發性、 熱穩定性足夠之反應性硼化合物。 不同金屬來源材料與一種和相同還原劑之反應會產生 不同的反應副產物。根據本發明,選擇金屬來源材料和硼 化合物,使得所得硼化合物爲氣體。此意謂形成的化合物 本 1氏張尺度適用中國國家標準dNS)^規格(210><297公楚y---— -15- (請先閱讀背面之注意事項再填寫本頁) 541351 經濟部智慧財產局員工消費合作社印製 A7 ___B7 _五、發明説明(彳3 ) 是足以藉惰性載氣之助而自反應空間中移出的氣體’另一 方面,不會分解(如:催化性分解或熱分解)成可冷凝的 物種。副產物會不留在膜中成爲雜質。如果表面上的反應 性位址被污染,膜的生長速率降低。藉由如前述地選擇金 屬來源材料和硼化合物,各循環中的膜的生長速率基本上 不會降低,即,降低量不超過0 · 1 %,以低於0 · 0 1 %爲佳,特別是低於0 · 0 0 1 %。不適合的組合例是 T i C 1 4和三乙基硼,其反應無法得到所欲結果。 熱力資料庫夠大的電腦程式有助於此選擇,此有助於 核對反應平衡並藉此預測何種反應物可導致熱力學上有利 的反應。此類型程式的一個例子是Outokumpu Research〇y, Pori,Finland 的 HSC Chemistry,version 3.02 (1997)。 多種硼化學品使得操作者能夠選擇適當的還原強度並 避免形成硼化物。可以使用一或多種硼化物來生長一和相 同的薄膜。 較佳情況中,使用下列硼化合物的一或多者: 式(I )的硼烷 B n H η + X 其中,η是1至10的整數,以2至6爲佳, X是偶數,以4、6或8爲佳, 或式(Π ) Β η H m ( Π ) 其中,η是1至10的整數,以2至6爲佳, m是不同於η的整數,m是1至1 〇,以2至6爲佳 (請先閲讀背面之注意事項再填寫本頁) 本紙張尺度適用中國國家標準(CNS ) A4規格(210X 297公釐) -16- 541351 經濟部智慧財產局員工消費合作社印製 A7 B7五、發明説明(14 ) 〇 根據式(I )的硼烷例有Β η Η η + 4、Β η Η n + 6和 Β η Η η + 8。根據式(Π )的硼烷例包括Β η H m。也可以 使用硼烷錯合物,如·· (CH3CH2)3N.BH3。 硼烷鹵化物,特別是氟化物、溴化物和氯化物。適當 化合物例爲B 2 Η 5 B r。也可以使用硼烷鹵化物錯合物、 硼/鹵素比例高的硼鹵化物,如:B 2 F 4、B 2 C 1 4和 B 2 B r 4 〇 根據式(m )的鹵硼烷 Β π X π ( m ) 其中,X是C 1或B r,而 n = 4,8 — 12(X=C1 時), n = 7— 10(X = Br 時) 根據式(IV )的碳硼烷 C 2 Β π X π + X ( IV ) 其中,η是1至1 〇的整數,以2至6爲佳, X是偶數,以2,4或6爲佳。 根據式(IV )的碳硼烷包括C 2 Β η Η η + 2、 C2BnHn + 4 和 C2BnHn + 6。 根據式(V )的胺硼烷 R 3 Ν Β X 3 ( V ) 其中,R是直鏈或帶有支鏈的Ci — Ci。,以Ci — C4烷基或Η爲佳,而 X是直鏈或帶有支鏈的Ci — Cio,以(^— C4烷基 (請先閱讀背面之注意事項再填寫本頁) 本纸張尺度適用中國國家標隼(CNS ) A4規格(210X297公釐) -17- 541351 Α7 Β7 五、發明説明(15 ) 、Η或鹵素爲佳, 根據式(VI)之Β上的一或多個取代基是胺基的胺基 (請先閱讀背面之注意事項再填寫本頁) 硼烷 R 2 Ν ( VI ) 其中,R是直鏈或帶有支鏈的Ci—Ci。,以Ci — C 4烷基或經取代或未經取代的芳基爲佳。 適當的胺基硼烷的一個例子是(C H3)2N B(C H3)2 ο 環狀硼嗪(—Β Η - Ν Η -)3和其揮發性衍生物。、 烷基硼或烷基硼烷,其中,烷基基本上是直鏈或帶有 支鏈的C i 一 C i。烷基,以C 2 — C 4烷基爲佳。特別佳者 是二乙基棚(CH3CH2) 3B,因其容易蒸發。 特別佳的硼化合物是三乙基硼(C Η 3 C Η 2 ) 3 B。 結合於底質表面上之還原的金屬物種之後進行與含氮 化合物之反應。此作爲氮來源材料的氮化合物具揮發性或 是氣體,係根據前述標準(包括與反應副產物有關的標準 )選擇。 經濟部智慧財產局員工消費合作社印製 較佳情況中,氮化合物選自包含 一 氨(Ν Η 3 )和其鹽,以鹵化物鹽爲佳,特別是 氟化氨或氯化銨; 一 氫疊氮(Η Ν 3 )和該化合物的烷基衍生物,如 ·· C Η 3 Ν 3 ; 一 聯氨(Ν 2 Η 4 )和聯氨的鹽類,如:聯氨氯化氫 本紙張尺度適用中國國家標準(CNS ) Α4規格(210X297公釐) -18- 541351 A7 ____B7_ 五、發明説明(16 ) 一 聯氨的院基衍生物,如:二甲基聯氨; 一 氟化氮N F 3 ; (請先閲讀背面之注意事項再填寫本頁) 一 羥基胺(N Η 2 Ο Η )和其鹽類,如:羥基胺氯 化氫; 一 一級、二級和三級胺,如:甲胺、二乙胺和三乙 胺;及 一 氮基’如:ΝΗ2*、ΝΗ**和Ν***,其中’ *代 表能夠鍵結的自由電子,及氮的激發態(Ν 2 * )。 未使用還原劑時,由前述方法得到的氮化物薄膜之Ν /W莫耳比大於1,即,氮化合物大多爲WN2形式。未以 還原劑操作時,也可以先將氮來源材料脈衝餵至反應空間 中,之後餵入過渡金屬來源材料(即,使用相反的來源材 料脈衝順序)。此時也是以氮來源材料脈衝終止沉積法。 因此,膜材料構造與藉類似但使用還原劑的方法所得者不 同。根據未使用還原劑之方法製得的膜的電阻較高。 以下列非限制例說明本發明。 實例1 經濟部智慧財產局員工消費合作社印製 使用六氟化鎢(w F 6 )和氨(Ν Η 3 )作爲來源化學 品。這兩種化學品於室溫下爲液化氣體且蒸汽壓高至不須 額外加熱便可用於A L D法。原料管線和反應器以純度 99 · 9999%的氮氣滌氣。此氮氣製自液氮。200 毫米5夕晶片依受託人的Finnish Patent No.100409載入 A L D反應器中。來源化學品交替脈衝與位於反應槽內的 本紙張尺度適用中國國家標準(CNS ) A4規格(210X 297公釐) -19- 541351 A7 ______B7 五、發明説明(17 ) 底質接觸。開始沉積,以N Η 3脈衝結束。此脈衝循環包括 下列步驟: (讀先閱讀背面之注意事項再填寫本頁) Ν Η 3蒸汽脈衝〇 . 5秒鐘 Ν 2氣體脈衝1 . 〇秒鐘 W F 6蒸汽脈衝〇 . 2 5秒鐘 Ν 2蒸汽脈衝〇 . 8秒鐘 脈衝循環重覆5 0 0次,製得3 0奈米膜,基本生長. 速率是0 · 6埃/循環。以電子繞射光譜儀(下文中稱爲 E D S )分析所得薄膜的組成、雜質和厚度。ε D S顯示 N /W比是1 . 3,此意謂其爲介於W Ν和W Ν 2之間的氮 化鎢相(即,富含氮)。生長溫度由4 0 0 °C降至3 6 0 °C,會使氟含量由2重量%提高至4重量%。 合倂厚度値和四點探針測定,得知氮化鎢膜的電阻値 。於4 0 0 °C生長的此膜的電阻値是1 9 0 0微歐姆公分 。膜的氮含量高會使得電阻値較高。 實例2 經濟部智慧財產局員工消費合作社印製 使用六氟化鎢(WF6)、三乙基硼(CH3CH2)3B 和氨(Ν Η 3 )作爲來源化學品。所有的化學品於室溫下爲 液體或液化氣體且蒸汽壓高至不須額外加熱便可用於 A L D法。原料管線和反應器以純度9 9 · 9 9 9 9 %的 氮氣滌氣。此氮氣製自液氮。2 0 0毫米矽晶片載入 F 2 0 0 A L D反應器中。來源化學品交替脈衝與位於 反應槽中的底質接觸。此脈衝循環包括下列步驟: 本紙張尺度適用中.國國家標準(CNS ) A4規格(210X297公釐) -20- 541351 A7 B7 五、發明説明(18 ; W F 6蒸汽脈衝〇 . 2 5秒鐘 N 2氣體脈衝〇 . 8秒鐘 (C Η 3 C Η 2 ) 3 B蒸汽脈衝0 · 〇 1秒鐘 Ν 2氣體脈衝〇 . 5秒鐘 Ν Η 3蒸汽脈衝〇 · 2 5秒鐘 Ν 2蒸汽脈衝〇 . 5秒鐘 脈衝循環重覆5 0 0次,於3 6 Ot製得3 0奈米膜 °以E D S分析所得薄膜厚度和組成。此膜由鎢和氮構成 的量在可偵測量之下。膜中的氟雜質含量是3重量% °合倂厚度値和四點探針測定,得知氮化鎢膜的電阻値。 電阻値是1 3 0 — 1 6 0微歐姆公分。 本發明者認爲硼化學品作爲還原劑並自氟化鎢移除氟 。硼化學品的優點在於可能的副產物(如:B F 3和 C H2 C H2 F )於沉積溫度是氣體,不會干擾氮化物生長 (請先閲讀背面之注意事項再填寫本頁) ,弟. Γ 經濟部智慧財產局員工消費合作社印製 本紙張尺度適用中.國國家標準(CNS ) A4規格(21〇Χ:297公釐) -21 -

Claims (1)

  1. 541351 A8 B8 C8 D8 &、申請專利範圍2 其中,金屬來源材料選自W、Mo、Cr、Ta、Nb、 v、H f、Z r 和 T i。 5 ·如申請專利範圍第4項之方法,其中,金屬來源 材料選自鹵化物或金屬有機化合物。 6 ·如申請專利範圍第5項之方法,其中鹵化物爲氟 化物、氯化物、溴化物或碘化物。 7 ·如申請專利範圍第5項之方法,其中金屬有機化 合物爲烷基胺基、環戊二烯基、二硫代胺基甲酸乙酯或石 —二酮酸鹽。 8 ·如申請專利範圍第4項之方法,其中,金屬來源 材料是鎢化合物,選自包含 — 鎢化鹵; 一幾基鎢; 一 環戊二烯基鎢;及 一 /3 -二酮酸鎢。 9 ·如申請專利範圍第8項之方法,其中鎢化鹵爲 (請先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 W F X 、 • W C : L y W B r m或W I η 5 其 中 、X % y > m 和 η 是 1 至6 的3 整〗 數 〇 1 0 · 如 串 請 專 利 範 圍第9 項 之 方 法, ,其 中 鎢 化 鹵 是 W F 6 〇 1 1 . 如 串 三主 S円 專 利 範 圍第8 項 之 方 法: _其 中 羰 基 鎢 爲 —r., /\ 孤 基 鎢W C C 〇 ) 6或三 [羰基< :1 5 3 5 1 5 - -ZZL 甲 基 苯 ) 鶴 0 1 2 . 如 串 5円 專 利 範 圍第8 項 之 方 法: ,其 中 環 戊 二 烯 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) •23 - 541351 經濟部智慧財產局員工消費合作社印製 A8 B8 C8 D8六、申請專利範圍 3 基鎢爲雙(環戊二烯基)二氫化鎢、雙(環戊二烯基)二 氯化鎢或雙(環戊二烯基)六羰基二鎢。 1 3 .如申請專利範圍第1至3項中任何一項之方法 ,其中,硼化合物選自包括式(I )的硼烷 Β η Η η + X 其中,η是1至10的整數, X是偶數, 和式(I I ) B n H m ( Π ) 其中,η是1至10的整數, m是不同於η的整數,m是1至10, 及它們的錯合物。 1 4 .如申請專利範圍第1 3項之方法,其中式(I )之η爲2至6。 1 5 .如申請專利範圍第1 3項之方法,其中式(I )之X爲4,6或8。 1 6 .如申請專利範圍第1 3項之方法,其中式(Π )之η爲2至6。 1 7 .如申請專利範圍第1 3項之方法,其中式(Π )之m爲2至6。 1 8 .如申請專利範圍第1 3項之方法,其中,硼烷 選自 BnHn + 4、BnHn + 6 和 BnHn + 8,其中,Π 和 HI 如 申請專.利範圍第1 3項定義者。 · 1 9 .如申請專利範圍第1至3項中任何一項之方法 (請先閲讀背面之注意事項再填寫本頁) 本紙張尺度逋用中國國家梂準(CNS ) A4規格U10X297公嫠) - 24- 541351 A8 B8 、 C8 _____D8 々、申請專利範圍 4 ,其中,硼化合物選自根據式(IV )的碳硼烷 C 2 Β η X n .+ X (IV) (請先閲^背面之注意事項再填寫本頁) 其中,η是1至1 〇的整數, X是偶數。 2 0 .如申請專利範圍第1 9項之方法,其中η爲2 至6。 2 1 ·如申請專利範圍第1 9項之方法,其中X爲2 ,4 或 6 〇 2 2 .如申請專利範圍第1 9項之方法,其中,碳硼 烷選自 C2BnHn + 2、C2BnHn + 4 和 C2BnHn + 6,其 中,η如申請專利範圍第1 9項定義者。 2 3 .如申請專利範圍第1至3項中任何一項之方法 ,其中,硼化合物選自根據式(V )的胺一硼烷加合物 R 3 Ν Β X a ( V ) 其中,R是直鏈或帶有支鏈的Cl 一 Cio烷基或Η, X疋直鍵或帶有支鍵的C 1— C ίο院基、Η或鹵素。 2 4 ·如申請專利範圍第2 3項之方法,其中r爲c ι 一 C 4院基。 經濟部智慧財產局員工消費合作社印製 2 5 ·如申請專利範圍第2 3項之方法,其中X爲c —C 4院基。 ‘ 2 6 ·如申請專利範圍第1至3項中任何一項之方法 ,其中,硼化合物選自根據式(VI )之B上的一琦么^ 4多個取 代基是胺基的胺基硼烷 . R 2 N ( VI ) 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) -25- 541351 經濟部智慧財產局員工消費合作社印製 A8 B8 C8 D8 六、申請專利範圍5 其中,R是直鏈或帶有支鏈的Cl 一 Cid烷基,或經 取代或未經取代的芳基。 2 7 .如申請專利範圍第2 6項之方法,其中r爲c ^ —C 4院基。 2 8 ·如申請專利範圍第1至3項中任何一項之方法 ,其中,硼化合物選自烷基硼或烷基硼烷,其中,烷基是 直鏈或帶有支鏈的C i — C i 〇烷基。 2 9 .如申請專利範圍第2 8項之方法,其中該烷基 爲C2 — C4院基。 3 0 .如申請專利範圍第1至3項中任何一項之方法 ,其中,硼化合物選自硼/鹵素比例高的硼鹵化物。 3 1 ·如申請專利範圍第3 0項之方法,其中該硼幽 化物爲 B2F4、B2C 14 或 Β2Βγ4。 3 2 ·如申請專利範圍第1至3項中任何一項之方法 ,其中,硼化合物選自式(瓜)的鹵硼烷 Β η X π ( ΙΠ ) 其中,X是Cl或Br,而 n = 4,8- 12(X=C1 時), n = 7— 10 (X = Br 時)。 3 3 ·如申請專利範圍第1至.3項中任何一項之方$ ,其中,硼化合物選自環狀硼嗪(―BH - NH —)3和其 揮發性衍生物。 3 4 ·如申請專利範圍第1至3項中任何一項之方$ ,其中,硼化合物選自硼烷鹵化物及它們的錯合物。 本紙張尺度適用中國國家標準(CNS ) A4規格(210 X 297公釐) (請先閲讀背面之注意事項再填寫本頁}
    26 541351 A8 B8 C8 D8 六、申請專利範圍6 3 5 ·如申請專利範圍第1至3項中任何一項之方法 ,其中,氮來源材料選自 (請先閲讀背面之注意事項再填寫本頁) 一 氨(N Η 3 )和其鹽,; 一 氫疊氮(ΗΝ3)和其烷基衍生物; 一 聯氨(Ν 2 Η 4 )和聯氨的鹽類; - 聯氨的烷基衍生物; 一 氟化氮N F 3 ; — 羥基胺(Ν Η 2〇Η )和其鹽類; 一 一級、二級和三級胺;及 一 氮基,及氮的激發態(Ν 2 * )。 3 6 ·如申請專利範圍第3 5項之方法,其中氨的鹽 爲鹵化物鹽。 3 7 ·如申請專利範圍第3 6項之方法,其中該鹵化 物鹽爲氟化氨或氯化銨。 3 8 ·如申請專利範圍第3 5項之方法,其中氫疊氮 的烷基衍生物爲C Η 3 Ν 3。 經濟部智慧財產局員工消費合作社印製 3 9 ·如申請專利範圍第3 5項之方法,其中聯氨的 鹽類爲聯氨氯化氫。 4 0 ·如申請專利範圍第3 5項之方法,其中聯氨的 烷基衍生物爲二甲基聯氨。 _ 4 1 ·如申請專利範圍第3 5項之方法,其中羥基胺 的鹽類爲羥基胺氯化氫。 4 2 ·如申請專利範圍第3 5項之方法,其中胺爲甲 胺、二乙胺或三乙胺。 本紙張尺度適用中國國家標率(CNS ) Α4規格(210 X 297公釐) -27- 541351 A8 B8 C8 D8 六、申請專利範圍7 4 3 .如申請專利範圍第3 5項之方法,其中氮基爲 N Η 2 *、N Η * *和N * * *,其中,*代表能夠鍵結的自由電 子。 4 4 ·如申請專利範圍第1至3項中任何一項之方法 ,其中,底質選自矽、矽石、經塗覆的矽、銅金屬和氮化 物。 4 5 . —種用以製造在積體電路中之擴散屏障的方法 ,其特徵在於其包含藉如申請專利範圍第1至3項中任何 一項之方法,在製造積體電路期間內,使金屬氮化物薄膜 沉積於積體電路的介電表面或金屬表面上。 (請先閲却背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 本紙張尺度適用中國國家標準(CNS ) Α4規格(210Χ297公釐) -28-
TW089121352A 1999-10-15 2000-10-12 Method of depositing transition metal nitride thin films TW541351B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
FI992234A FI117944B (fi) 1999-10-15 1999-10-15 Menetelmä siirtymämetallinitridiohutkalvojen kasvattamiseksi

Publications (1)

Publication Number Publication Date
TW541351B true TW541351B (en) 2003-07-11

Family

ID=8555459

Family Applications (1)

Application Number Title Priority Date Filing Date
TW089121352A TW541351B (en) 1999-10-15 2000-10-12 Method of depositing transition metal nitride thin films

Country Status (9)

Country Link
US (1) US6863727B1 (zh)
EP (1) EP1242647B1 (zh)
JP (1) JP4713041B2 (zh)
KR (1) KR100744219B1 (zh)
AU (1) AU7926800A (zh)
DE (1) DE60004566T2 (zh)
FI (1) FI117944B (zh)
TW (1) TW541351B (zh)
WO (1) WO2001027347A1 (zh)

Families Citing this family (123)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6475276B1 (en) * 1999-10-15 2002-11-05 Asm Microchemistry Oy Production of elemental thin films using a boron-containing reducing agent
US6727169B1 (en) * 1999-10-15 2004-04-27 Asm International, N.V. Method of making conformal lining layers for damascene metallization
US6319766B1 (en) 2000-02-22 2001-11-20 Applied Materials, Inc. Method of tantalum nitride deposition by tantalum oxide densification
FI20001694A0 (fi) 2000-07-20 2000-07-20 Asm Microchemistry Oy Menetelmä ohutkalvon kasvattamiseksi substraatille
JP5290488B2 (ja) 2000-09-28 2013-09-18 プレジデント アンド フェロウズ オブ ハーバード カレッジ 酸化物、ケイ酸塩及びリン酸塩の気相成長
US6765178B2 (en) 2000-12-29 2004-07-20 Applied Materials, Inc. Chamber for uniform substrate heating
US6825447B2 (en) 2000-12-29 2004-11-30 Applied Materials, Inc. Apparatus and method for uniform substrate heating and contaminate collection
US6811814B2 (en) 2001-01-16 2004-11-02 Applied Materials, Inc. Method for growing thin films by catalytic enhancement
US6951804B2 (en) 2001-02-02 2005-10-04 Applied Materials, Inc. Formation of a tantalum-nitride layer
US6660126B2 (en) 2001-03-02 2003-12-09 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US6878206B2 (en) 2001-07-16 2005-04-12 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US6734020B2 (en) 2001-03-07 2004-05-11 Applied Materials, Inc. Valve control system for atomic layer deposition chamber
FI109770B (fi) 2001-03-16 2002-10-15 Asm Microchemistry Oy Menetelmä metallinitridiohutkalvojen valmistamiseksi
US7955972B2 (en) 2001-05-22 2011-06-07 Novellus Systems, Inc. Methods for growing low-resistivity tungsten for high aspect ratio and small features
US7589017B2 (en) 2001-05-22 2009-09-15 Novellus Systems, Inc. Methods for growing low-resistivity tungsten film
US7141494B2 (en) 2001-05-22 2006-11-28 Novellus Systems, Inc. Method for reducing tungsten film roughness and improving step coverage
US7005372B2 (en) * 2003-01-21 2006-02-28 Novellus Systems, Inc. Deposition of tungsten nitride
US9076843B2 (en) 2001-05-22 2015-07-07 Novellus Systems, Inc. Method for producing ultra-thin tungsten layers with improved step coverage
WO2003029515A2 (en) 2001-07-16 2003-04-10 Applied Materials, Inc. Formation of composite tungsten films
US9051641B2 (en) 2001-07-25 2015-06-09 Applied Materials, Inc. Cobalt deposition on barrier surfaces
AU2002333601A1 (en) 2001-09-14 2003-04-01 Asm America, Inc. Metal nitride deposition by ald using gettering reactant
US6607976B2 (en) * 2001-09-25 2003-08-19 Applied Materials, Inc. Copper interconnect barrier layer structure and formation method
TW589684B (en) 2001-10-10 2004-06-01 Applied Materials Inc Method for depositing refractory metal layers employing sequential deposition techniques
US6916398B2 (en) 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US6821891B2 (en) 2001-11-16 2004-11-23 Applied Materials, Inc. Atomic layer deposition of copper using a reducing gas and non-fluorinated copper precursors
US6809026B2 (en) 2001-12-21 2004-10-26 Applied Materials, Inc. Selective deposition of a barrier layer on a metal film
US6939801B2 (en) 2001-12-21 2005-09-06 Applied Materials, Inc. Selective deposition of a barrier layer on a dielectric material
JP4908738B2 (ja) * 2002-01-17 2012-04-04 サンデュー・テクノロジーズ・エルエルシー Ald方法
US6620670B2 (en) 2002-01-18 2003-09-16 Applied Materials, Inc. Process conditions and precursors for atomic layer deposition (ALD) of AL2O3
US6911391B2 (en) 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
US6827978B2 (en) 2002-02-11 2004-12-07 Applied Materials, Inc. Deposition of tungsten films
US6833161B2 (en) 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
AU2003228402A1 (en) 2002-03-28 2003-10-13 President And Fellows Of Harvard College Vapor deposition of silicon dioxide nanolaminates
US6720027B2 (en) 2002-04-08 2004-04-13 Applied Materials, Inc. Cyclical deposition of a variable content titanium silicon nitride layer
US6932871B2 (en) 2002-04-16 2005-08-23 Applied Materials, Inc. Multi-station deposition apparatus and method
KR20050028015A (ko) 2002-07-12 2005-03-21 프레지던트 앤드 펠로우즈 오브 하바드 칼리지 텅스텐 질소화물의 증기 증착
US7186385B2 (en) 2002-07-17 2007-03-06 Applied Materials, Inc. Apparatus for providing gas to a processing chamber
US7150789B2 (en) * 2002-07-29 2006-12-19 Micron Technology, Inc. Atomic layer deposition methods
US6753271B2 (en) 2002-08-15 2004-06-22 Micron Technology, Inc. Atomic layer deposition methods
US6890596B2 (en) 2002-08-15 2005-05-10 Micron Technology, Inc. Deposition methods
US6673701B1 (en) 2002-08-27 2004-01-06 Micron Technology, Inc. Atomic layer deposition methods
JP4083512B2 (ja) * 2002-08-30 2008-04-30 東京エレクトロン株式会社 基板処理装置
US6753248B1 (en) 2003-01-27 2004-06-22 Applied Materials, Inc. Post metal barrier/adhesion film
US7202166B2 (en) 2003-08-04 2007-04-10 Asm America, Inc. Surface preparation prior to deposition on germanium
US7754604B2 (en) 2003-08-26 2010-07-13 Novellus Systems, Inc. Reducing silicon attack and improving resistivity of tungsten nitride film
KR101108304B1 (ko) * 2004-02-26 2012-01-25 노벨러스 시스템즈, 인코포레이티드 질화 텅스텐의 증착
US7405143B2 (en) 2004-03-25 2008-07-29 Asm International N.V. Method for fabricating a seed layer
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
US8323754B2 (en) 2004-05-21 2012-12-04 Applied Materials, Inc. Stabilization of high-k dielectric materials
US7588988B2 (en) 2004-08-31 2009-09-15 Micron Technology, Inc. Method of forming apparatus having oxide films formed using atomic layer deposition
KR100594626B1 (ko) * 2004-09-02 2006-07-07 한양대학교 산학협력단 원자층 증착법을 이용한 질화막의 형성 방법
JP4592373B2 (ja) * 2004-09-30 2010-12-01 株式会社トリケミカル研究所 導電性モリブデンナイトライドゲート電極膜の形成方法
US7235501B2 (en) 2004-12-13 2007-06-26 Micron Technology, Inc. Lanthanum hafnium oxide dielectrics
JP2008532271A (ja) 2005-02-22 2008-08-14 エーエスエム アメリカ インコーポレイテッド 原子層堆積のための表面のプラズマ前処理
US7767363B2 (en) 2005-06-24 2010-08-03 Micron Technology, Inc. Methods for photo-processing photo-imageable material
US7927948B2 (en) 2005-07-20 2011-04-19 Micron Technology, Inc. Devices with nanocrystals and methods of formation
US8110469B2 (en) * 2005-08-30 2012-02-07 Micron Technology, Inc. Graded dielectric layers
US7582562B2 (en) 2005-10-06 2009-09-01 Micron Technology, Inc. Atomic layer deposition methods
US8993055B2 (en) 2005-10-27 2015-03-31 Asm International N.V. Enhanced thin film deposition
TWI331770B (en) 2005-11-04 2010-10-11 Applied Materials Inc Apparatus for plasma-enhanced atomic layer deposition
US7592251B2 (en) 2005-12-08 2009-09-22 Micron Technology, Inc. Hafnium tantalum titanium oxide films
US7759747B2 (en) 2006-08-31 2010-07-20 Micron Technology, Inc. Tantalum aluminum oxynitride high-κ dielectric
US8268409B2 (en) * 2006-10-25 2012-09-18 Asm America, Inc. Plasma-enhanced deposition of metal carbide films
US8795771B2 (en) 2006-10-27 2014-08-05 Sean T. Barry ALD of metal-containing films using cyclopentadienyl compounds
US7611751B2 (en) 2006-11-01 2009-11-03 Asm America, Inc. Vapor deposition of metal carbide films
US7595270B2 (en) 2007-01-26 2009-09-29 Asm America, Inc. Passivated stoichiometric metal nitride films
US7598170B2 (en) 2007-01-26 2009-10-06 Asm America, Inc. Plasma-enhanced ALD of tantalum nitride films
US7713874B2 (en) * 2007-05-02 2010-05-11 Asm America, Inc. Periodic plasma annealing in an ALD-type process
US7638170B2 (en) 2007-06-21 2009-12-29 Asm International N.V. Low resistivity metal carbonitride thin film deposition by atomic layer deposition
US8017182B2 (en) 2007-06-21 2011-09-13 Asm International N.V. Method for depositing thin films by mixed pulsed CVD and ALD
KR101275025B1 (ko) * 2007-07-12 2013-06-14 삼성전자주식회사 반도체 소자용 배선 구조물 및 이의 형성방법
US7655567B1 (en) 2007-07-24 2010-02-02 Novellus Systems, Inc. Methods for improving uniformity and resistivity of thin tungsten films
US7772114B2 (en) 2007-12-05 2010-08-10 Novellus Systems, Inc. Method for improving uniformity and adhesion of low resistivity tungsten film
US8053365B2 (en) 2007-12-21 2011-11-08 Novellus Systems, Inc. Methods for forming all tungsten contacts and lines
US8062977B1 (en) 2008-01-31 2011-11-22 Novellus Systems, Inc. Ternary tungsten-containing resistive thin films
KR101540077B1 (ko) 2008-04-16 2015-07-28 에이에스엠 아메리카, 인코포레이티드 알루미늄 탄화수소 화합물들을 이용한 금속 카바이드 막들의 원자층 증착법
US7666474B2 (en) 2008-05-07 2010-02-23 Asm America, Inc. Plasma-enhanced pulsed deposition of metal carbide films
US8058170B2 (en) 2008-06-12 2011-11-15 Novellus Systems, Inc. Method for depositing thin tungsten film with low resistivity and robust micro-adhesion characteristics
US20100062149A1 (en) 2008-09-08 2010-03-11 Applied Materials, Inc. Method for tuning a deposition rate during an atomic layer deposition process
US9159571B2 (en) 2009-04-16 2015-10-13 Lam Research Corporation Tungsten deposition process using germanium-containing reducing agent
US20100267230A1 (en) 2009-04-16 2010-10-21 Anand Chandrashekar Method for forming tungsten contacts and interconnects with small critical dimensions
US10256142B2 (en) 2009-08-04 2019-04-09 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US8207062B2 (en) 2009-09-09 2012-06-26 Novellus Systems, Inc. Method for improving adhesion of low resistivity tungsten/tungsten nitride layers
WO2011049816A2 (en) 2009-10-20 2011-04-28 Asm International N.V. Processes for passivating dielectric films
CN113862634A (zh) 2012-03-27 2021-12-31 诺发系统公司 钨特征填充
US9034760B2 (en) 2012-06-29 2015-05-19 Novellus Systems, Inc. Methods of forming tensile tungsten films and compressive tungsten films
US9969622B2 (en) * 2012-07-26 2018-05-15 Lam Research Corporation Ternary tungsten boride nitride films and methods for forming same
US8975184B2 (en) 2012-07-27 2015-03-10 Novellus Systems, Inc. Methods of improving tungsten contact resistance in small critical dimension features
JP6007031B2 (ja) * 2012-08-23 2016-10-12 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
US8853080B2 (en) 2012-09-09 2014-10-07 Novellus Systems, Inc. Method for depositing tungsten film with low roughness and low resistivity
JP6009870B2 (ja) 2012-09-11 2016-10-19 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
KR101397340B1 (ko) 2013-03-13 2014-05-20 이승윤 금속 표면처리 방법 및 이에 따른 금속 처리물
US9412602B2 (en) 2013-03-13 2016-08-09 Asm Ip Holding B.V. Deposition of smooth metal nitride films
US8841182B1 (en) 2013-03-14 2014-09-23 Asm Ip Holding B.V. Silane and borane treatments for titanium carbide films
US8846550B1 (en) 2013-03-14 2014-09-30 Asm Ip Holding B.V. Silane or borane treatment of metal thin films
US9153486B2 (en) 2013-04-12 2015-10-06 Lam Research Corporation CVD based metal/semiconductor OHMIC contact for high volume manufacturing applications
US9589808B2 (en) 2013-12-19 2017-03-07 Lam Research Corporation Method for depositing extremely low resistivity tungsten
US9394609B2 (en) 2014-02-13 2016-07-19 Asm Ip Holding B.V. Atomic layer deposition of aluminum fluoride thin films
US10643925B2 (en) 2014-04-17 2020-05-05 Asm Ip Holding B.V. Fluorine-containing conductive films
US10002936B2 (en) 2014-10-23 2018-06-19 Asm Ip Holding B.V. Titanium aluminum and tantalum aluminum thin films
US9953984B2 (en) 2015-02-11 2018-04-24 Lam Research Corporation Tungsten for wordline applications
US9613818B2 (en) 2015-05-27 2017-04-04 Lam Research Corporation Deposition of low fluorine tungsten by sequential CVD process
US9978605B2 (en) 2015-05-27 2018-05-22 Lam Research Corporation Method of forming low resistivity fluorine free tungsten film without nucleation
US9754824B2 (en) 2015-05-27 2017-09-05 Lam Research Corporation Tungsten films having low fluorine content
US9941425B2 (en) 2015-10-16 2018-04-10 Asm Ip Holdings B.V. Photoactive devices and materials
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9786492B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
KR102378021B1 (ko) 2016-05-06 2022-03-23 에이에스엠 아이피 홀딩 비.브이. SiOC 박막의 형성
US10229833B2 (en) * 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10186420B2 (en) 2016-11-29 2019-01-22 Asm Ip Holding B.V. Formation of silicon-containing thin films
US10847529B2 (en) 2017-04-13 2020-11-24 Asm Ip Holding B.V. Substrate processing method and device manufactured by the same
US10504901B2 (en) 2017-04-26 2019-12-10 Asm Ip Holding B.V. Substrate processing method and device manufactured using the same
US11158500B2 (en) 2017-05-05 2021-10-26 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of oxygen containing thin films
US10199267B2 (en) 2017-06-30 2019-02-05 Lam Research Corporation Tungsten nitride barrier layer deposition
TW201908511A (zh) * 2017-07-13 2019-03-01 美商應用材料股份有限公司 用於沉積鎢成核層的方法及設備
KR20200032756A (ko) 2017-08-14 2020-03-26 램 리써치 코포레이션 3차원 수직 nand 워드라인을 위한 금속 충진 프로세스
CN111066124A (zh) * 2017-09-25 2020-04-24 株式会社国际电气 半导体装置的制造方法、基板处理装置及程序
US10991573B2 (en) 2017-12-04 2021-04-27 Asm Ip Holding B.V. Uniform deposition of SiOC on dielectric and metal surfaces
US11549175B2 (en) 2018-05-03 2023-01-10 Lam Research Corporation Method of depositing tungsten and other metals in 3D NAND structures
WO2020028587A1 (en) 2018-07-31 2020-02-06 Lam Research Corporation Multi-layer feature fill
US11972952B2 (en) 2018-12-14 2024-04-30 Lam Research Corporation Atomic layer deposition on 3D NAND structures
KR20210141762A (ko) 2019-04-11 2021-11-23 램 리써치 코포레이션 고 단차 커버리지 (step coverage) 텅스텐 증착
CN115584487A (zh) * 2022-10-18 2023-01-10 合肥安德科铭半导体科技有限公司 一种双(烷基亚胺基)双(烷基胺基)钨(vi)的制备方法及应用

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
SE393967B (sv) 1974-11-29 1977-05-31 Sateko Oy Forfarande och for utforande av stroleggning mellan lagren i ett virkespaket
US5342652A (en) 1992-06-15 1994-08-30 Materials Research Corporation Method of nucleating tungsten on titanium nitride by CVD without silane
FI97731C (fi) 1994-11-28 1997-02-10 Mikrokemia Oy Menetelmä ja laite ohutkalvojen valmistamiseksi
DE69517158T2 (de) 1994-11-30 2001-01-25 Micron Technology Inc Verfahren zum auftragen von wolframnitrid unter verwendung eines silicium enthaltenden gases
JPH08264530A (ja) * 1995-03-20 1996-10-11 Fujitsu Ltd 半導体装置の製造方法及び半導体装置の製造装置
KR0155918B1 (ko) 1995-11-03 1998-12-01 김광호 선택적 텅스텐질화박막을 이용한 반도체장치의 캐패시터 형성방법
US6509098B1 (en) * 1995-11-17 2003-01-21 Massachusetts Institute Of Technology Poly(ethylene oxide) coated surfaces
US6342277B1 (en) * 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US5916365A (en) * 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
US5913145A (en) 1997-08-28 1999-06-15 Texas Instruments Incorporated Method for fabricating thermally stable contacts with a diffusion barrier formed at high temperatures
US6197683B1 (en) * 1997-09-29 2001-03-06 Samsung Electronics Co., Ltd. Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact of semiconductor device using the same
US6576053B1 (en) * 1999-10-06 2003-06-10 Samsung Electronics Co., Ltd. Method of forming thin film using atomic layer deposition method
US6727169B1 (en) * 1999-10-15 2004-04-27 Asm International, N.V. Method of making conformal lining layers for damascene metallization

Also Published As

Publication number Publication date
DE60004566T2 (de) 2004-06-24
AU7926800A (en) 2001-04-23
KR100744219B1 (ko) 2007-08-01
JP4713041B2 (ja) 2011-06-29
US6863727B1 (en) 2005-03-08
EP1242647A1 (en) 2002-09-25
WO2001027347A1 (en) 2001-04-19
FI19992234A (fi) 2001-04-16
EP1242647B1 (en) 2003-08-13
KR20020040877A (ko) 2002-05-30
DE60004566D1 (de) 2003-09-18
JP2003511561A (ja) 2003-03-25
FI117944B (fi) 2007-04-30

Similar Documents

Publication Publication Date Title
TW541351B (en) Method of depositing transition metal nitride thin films
EP1230421B1 (en) Method of modifying source chemicals in an ald process
JP6116425B2 (ja) 金属薄膜の製膜方法
US6800552B2 (en) Deposition of transition metal carbides
JP4938962B2 (ja) ゲッタリング反応物を用いるaldによる金属窒化物堆積
FI119941B (fi) Menetelmä nanolaminaattien valmistamiseksi
US7638170B2 (en) Low resistivity metal carbonitride thin film deposition by atomic layer deposition
US20150187600A1 (en) Selective formation of metallic films on metallic surfaces
TW202212610A (zh) 使用雙(烷基芳烴)鉬前驅物之鉬蒸氣沉積
WO2001029280A1 (en) Deposition of transition metal carbides
EP1221178A1 (en) Method for depositing nanolaminate thin films on sensitive surfaces
Eisenbraun et al. Atomic layer deposition (ALD) of tantalum-based materials for zero thickness copper barrier applications
JP2003524888A (ja) 感受性表面上にナノラミネート薄膜を堆積するための方法
FI117943B (fi) Menetelmä alkuaineohutkalvojen kasvattamiseksi

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent
MK4A Expiration of patent term of an invention patent