TW535254B - Barrier layer structure for copper metallization and method of forming the structure - Google Patents
Barrier layer structure for copper metallization and method of forming the structure Download PDFInfo
- Publication number
- TW535254B TW535254B TW090119424A TW90119424A TW535254B TW 535254 B TW535254 B TW 535254B TW 090119424 A TW090119424 A TW 090119424A TW 90119424 A TW90119424 A TW 90119424A TW 535254 B TW535254 B TW 535254B
- Authority
- TW
- Taiwan
- Prior art keywords
- barrier layer
- layer
- metal
- nitride
- copper
- Prior art date
Links
- 230000004888 barrier function Effects 0.000 title claims abstract description 119
- 238000000034 method Methods 0.000 title claims abstract description 90
- 239000010949 copper Substances 0.000 title claims abstract description 89
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 title claims abstract description 84
- 229910052802 copper Inorganic materials 0.000 title claims abstract description 84
- 238000001465 metallisation Methods 0.000 title claims abstract description 14
- 229910052751 metal Inorganic materials 0.000 claims abstract description 68
- 239000002184 metal Substances 0.000 claims abstract description 68
- 238000005229 chemical vapour deposition Methods 0.000 claims abstract description 50
- 238000005240 physical vapour deposition Methods 0.000 claims abstract description 46
- 150000004767 nitrides Chemical class 0.000 claims abstract description 34
- 238000000151 deposition Methods 0.000 claims description 32
- NRTOMJZYCJJWKI-UHFFFAOYSA-N Titanium nitride Chemical compound [Ti]#N NRTOMJZYCJJWKI-UHFFFAOYSA-N 0.000 claims description 28
- 239000003870 refractory metal Substances 0.000 claims description 24
- 239000010936 titanium Substances 0.000 claims description 17
- 229910052721 tungsten Inorganic materials 0.000 claims description 16
- 230000002079 cooperative effect Effects 0.000 claims description 15
- 239000010937 tungsten Substances 0.000 claims description 14
- 239000000463 material Substances 0.000 claims description 12
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 claims description 11
- -1 Tungsten Nitride Chemical class 0.000 claims description 9
- 229910052719 titanium Inorganic materials 0.000 claims description 9
- RTAQQCXQSZGOHL-UHFFFAOYSA-N Titanium Chemical compound [Ti] RTAQQCXQSZGOHL-UHFFFAOYSA-N 0.000 claims description 7
- 229910000831 Steel Inorganic materials 0.000 claims description 5
- 238000009713 electroplating Methods 0.000 claims description 5
- 229910052710 silicon Inorganic materials 0.000 claims description 5
- 239000010703 silicon Substances 0.000 claims description 5
- 239000010959 steel Substances 0.000 claims description 5
- 239000000126 substance Substances 0.000 claims description 5
- 239000013078 crystal Substances 0.000 claims description 3
- 235000012054 meals Nutrition 0.000 claims description 3
- 229910052735 hafnium Inorganic materials 0.000 claims description 2
- 150000002739 metals Chemical class 0.000 claims description 2
- PCTMTFRHKVHKIS-BMFZQQSSSA-N (1s,3r,4e,6e,8e,10e,12e,14e,16e,18s,19r,20r,21s,25r,27r,30r,31r,33s,35r,37s,38r)-3-[(2r,3s,4s,5s,6r)-4-amino-3,5-dihydroxy-6-methyloxan-2-yl]oxy-19,25,27,30,31,33,35,37-octahydroxy-18,20,21-trimethyl-23-oxo-22,39-dioxabicyclo[33.3.1]nonatriaconta-4,6,8,10 Chemical compound C1C=C2C[C@@H](OS(O)(=O)=O)CC[C@]2(C)[C@@H]2[C@@H]1[C@@H]1CC[C@H]([C@H](C)CCCC(C)C)[C@@]1(C)CC2.O[C@H]1[C@@H](N)[C@H](O)[C@@H](C)O[C@H]1O[C@H]1/C=C/C=C/C=C/C=C/C=C/C=C/C=C/[C@H](C)[C@@H](O)[C@@H](C)[C@H](C)OC(=O)C[C@H](O)C[C@H](O)CC[C@@H](O)[C@H](O)C[C@H](O)C[C@](O)(C[C@H](O)[C@H]2C(O)=O)O[C@H]2C1 PCTMTFRHKVHKIS-BMFZQQSSSA-N 0.000 claims 3
- PCHJSUWPFVWCPO-UHFFFAOYSA-N gold Chemical compound [Au] PCHJSUWPFVWCPO-UHFFFAOYSA-N 0.000 claims 2
- 229910052737 gold Inorganic materials 0.000 claims 2
- 239000010931 gold Substances 0.000 claims 2
- 241000406668 Loxodonta cyclotis Species 0.000 claims 1
- HCHKCACWOHOZIP-UHFFFAOYSA-N Zinc Chemical compound [Zn] HCHKCACWOHOZIP-UHFFFAOYSA-N 0.000 claims 1
- 230000005611 electricity Effects 0.000 claims 1
- VBJZVLUMGGDVMO-UHFFFAOYSA-N hafnium atom Chemical compound [Hf] VBJZVLUMGGDVMO-UHFFFAOYSA-N 0.000 claims 1
- 229910052702 rhenium Inorganic materials 0.000 claims 1
- WUAPFZMCVAUBPE-UHFFFAOYSA-N rhenium atom Chemical compound [Re] WUAPFZMCVAUBPE-UHFFFAOYSA-N 0.000 claims 1
- 230000003068 static effect Effects 0.000 claims 1
- 239000012808 vapor phase Substances 0.000 claims 1
- 229910052725 zinc Inorganic materials 0.000 claims 1
- 239000011701 zinc Substances 0.000 claims 1
- 239000000758 substrate Substances 0.000 description 54
- 230000008569 process Effects 0.000 description 43
- 230000008021 deposition Effects 0.000 description 25
- 239000007789 gas Substances 0.000 description 25
- 238000004519 manufacturing process Methods 0.000 description 15
- NJPPVKZQTLUDBO-UHFFFAOYSA-N novaluron Chemical compound C1=C(Cl)C(OC(F)(F)C(OC(F)(F)F)F)=CC=C1NC(=O)NC(=O)C1=C(F)C=CC=C1F NJPPVKZQTLUDBO-UHFFFAOYSA-N 0.000 description 15
- 238000005516 engineering process Methods 0.000 description 9
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 description 7
- 239000011261 inert gas Substances 0.000 description 7
- 150000002500 ions Chemical class 0.000 description 7
- 239000010408 film Substances 0.000 description 6
- 238000001228 spectrum Methods 0.000 description 6
- 238000004544 sputter deposition Methods 0.000 description 6
- 238000006243 chemical reaction Methods 0.000 description 5
- 239000000203 mixture Substances 0.000 description 5
- 239000002245 particle Substances 0.000 description 5
- 239000002243 precursor Substances 0.000 description 5
- 238000012545 processing Methods 0.000 description 5
- 238000002441 X-ray diffraction Methods 0.000 description 4
- 238000005054 agglomeration Methods 0.000 description 4
- 230000002776 aggregation Effects 0.000 description 4
- 229910052786 argon Inorganic materials 0.000 description 4
- 238000009792 diffusion process Methods 0.000 description 4
- 238000009832 plasma treatment Methods 0.000 description 4
- 239000004065 semiconductor Substances 0.000 description 4
- 239000013077 target material Substances 0.000 description 4
- MNWRORMXBIWXCI-UHFFFAOYSA-N tetrakis(dimethylamido)titanium Chemical compound CN(C)[Ti](N(C)C)(N(C)C)N(C)C MNWRORMXBIWXCI-UHFFFAOYSA-N 0.000 description 4
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 3
- PXHVJJICTQNCMI-UHFFFAOYSA-N Nickel Chemical compound [Ni] PXHVJJICTQNCMI-UHFFFAOYSA-N 0.000 description 3
- 230000007246 mechanism Effects 0.000 description 3
- 238000001878 scanning electron micrograph Methods 0.000 description 3
- 239000010409 thin film Substances 0.000 description 3
- 241000393496 Electra Species 0.000 description 2
- 241001424392 Lucia limbaria Species 0.000 description 2
- BLRPTPMANUNPDV-UHFFFAOYSA-N Silane Chemical compound [SiH4] BLRPTPMANUNPDV-UHFFFAOYSA-N 0.000 description 2
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 2
- 239000000654 additive Substances 0.000 description 2
- 229910052782 aluminium Inorganic materials 0.000 description 2
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 2
- 238000000137 annealing Methods 0.000 description 2
- 230000015572 biosynthetic process Effects 0.000 description 2
- HJJVPARKXDDIQD-UHFFFAOYSA-N bromuconazole Chemical compound ClC1=CC(Cl)=CC=C1C1(CN2N=CN=C2)OCC(Br)C1 HJJVPARKXDDIQD-UHFFFAOYSA-N 0.000 description 2
- 150000001879 copper Chemical class 0.000 description 2
- 238000010586 diagram Methods 0.000 description 2
- 238000002474 experimental method Methods 0.000 description 2
- 238000011065 in-situ storage Methods 0.000 description 2
- 238000005498 polishing Methods 0.000 description 2
- 239000000047 product Substances 0.000 description 2
- 229910000077 silane Inorganic materials 0.000 description 2
- 229910052715 tantalum Inorganic materials 0.000 description 2
- 238000012546 transfer Methods 0.000 description 2
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 description 1
- 101710125768 Importin-4 Proteins 0.000 description 1
- WHXSMMKQMYFTQS-UHFFFAOYSA-N Lithium Chemical compound [Li] WHXSMMKQMYFTQS-UHFFFAOYSA-N 0.000 description 1
- 229910052581 Si3N4 Inorganic materials 0.000 description 1
- 102100030404 Signal peptide peptidase-like 2B Human genes 0.000 description 1
- CCAZWUJBLXKBAY-ULZPOIKGSA-N Tutin Chemical compound C([C@]12[C@@H]3O[C@@H]3[C@@]3(O)[C@H]4C(=O)O[C@@H]([C@H]([C@]32C)O)[C@H]4C(=C)C)O1 CCAZWUJBLXKBAY-ULZPOIKGSA-N 0.000 description 1
- UGACIEPFGXRWCH-UHFFFAOYSA-N [Si].[Ti] Chemical compound [Si].[Ti] UGACIEPFGXRWCH-UHFFFAOYSA-N 0.000 description 1
- 238000009825 accumulation Methods 0.000 description 1
- 230000000996 additive effect Effects 0.000 description 1
- 229910045601 alloy Inorganic materials 0.000 description 1
- 239000000956 alloy Substances 0.000 description 1
- 238000000637 aluminium metallisation Methods 0.000 description 1
- IVHJCRXBQPGLOV-UHFFFAOYSA-N azanylidynetungsten Chemical compound [W]#N IVHJCRXBQPGLOV-UHFFFAOYSA-N 0.000 description 1
- 230000008901 benefit Effects 0.000 description 1
- 230000033228 biological regulation Effects 0.000 description 1
- WYEMLYFITZORAB-UHFFFAOYSA-N boscalid Chemical compound C1=CC(Cl)=CC=C1C1=CC=CC=C1NC(=O)C1=CC=CN=C1Cl WYEMLYFITZORAB-UHFFFAOYSA-N 0.000 description 1
- 239000006227 byproduct Substances 0.000 description 1
- 239000012159 carrier gas Substances 0.000 description 1
- 239000000919 ceramic Substances 0.000 description 1
- 238000005352 clarification Methods 0.000 description 1
- 230000001427 coherent effect Effects 0.000 description 1
- 238000004891 communication Methods 0.000 description 1
- 150000001875 compounds Chemical class 0.000 description 1
- 238000004590 computer program Methods 0.000 description 1
- 239000000356 contaminant Substances 0.000 description 1
- 238000011109 contamination Methods 0.000 description 1
- 230000001276 controlling effect Effects 0.000 description 1
- 229910000365 copper sulfate Inorganic materials 0.000 description 1
- ARUVKPQLZAKDPS-UHFFFAOYSA-L copper(II) sulfate Chemical compound [Cu+2].[O-][S+2]([O-])([O-])[O-] ARUVKPQLZAKDPS-UHFFFAOYSA-L 0.000 description 1
- 238000007791 dehumidification Methods 0.000 description 1
- 230000032798 delamination Effects 0.000 description 1
- 230000001419 dependent effect Effects 0.000 description 1
- 238000005137 deposition process Methods 0.000 description 1
- 125000002147 dimethylamino group Chemical group [H]C([H])([H])N(*)C([H])([H])[H] 0.000 description 1
- 238000009826 distribution Methods 0.000 description 1
- 230000005684 electric field Effects 0.000 description 1
- 230000005284 excitation Effects 0.000 description 1
- 238000007667 floating Methods 0.000 description 1
- 239000001307 helium Substances 0.000 description 1
- 229910052734 helium Inorganic materials 0.000 description 1
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 description 1
- OAKJQQAXSVQMHS-UHFFFAOYSA-N hydrazine Substances NN OAKJQQAXSVQMHS-UHFFFAOYSA-N 0.000 description 1
- 239000001257 hydrogen Substances 0.000 description 1
- 229910052739 hydrogen Inorganic materials 0.000 description 1
- 238000009413 insulation Methods 0.000 description 1
- 239000012212 insulator Substances 0.000 description 1
- 230000037427 ion transport Effects 0.000 description 1
- 239000010977 jade Substances 0.000 description 1
- 239000004922 lacquer Substances 0.000 description 1
- 229910052744 lithium Inorganic materials 0.000 description 1
- 230000007774 longterm Effects 0.000 description 1
- 229910052754 neon Inorganic materials 0.000 description 1
- GKAOGPIIYCISHV-UHFFFAOYSA-N neon atom Chemical compound [Ne] GKAOGPIIYCISHV-UHFFFAOYSA-N 0.000 description 1
- 230000007935 neutral effect Effects 0.000 description 1
- 229910052759 nickel Inorganic materials 0.000 description 1
- 229910052757 nitrogen Inorganic materials 0.000 description 1
- 150000002902 organometallic compounds Chemical class 0.000 description 1
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 description 1
- 238000007747 plating Methods 0.000 description 1
- 229910021420 polycrystalline silicon Inorganic materials 0.000 description 1
- 238000006116 polymerization reaction Methods 0.000 description 1
- 229920005591 polysilicon Polymers 0.000 description 1
- 238000007639 printing Methods 0.000 description 1
- 230000005855 radiation Effects 0.000 description 1
- 229910021332 silicide Inorganic materials 0.000 description 1
- FVBUAEGBCNSCDD-UHFFFAOYSA-N silicide(4-) Chemical compound [Si-4] FVBUAEGBCNSCDD-UHFFFAOYSA-N 0.000 description 1
- 238000005477 sputtering target Methods 0.000 description 1
- 239000010421 standard material Substances 0.000 description 1
- 238000002230 thermal chemical vapour deposition Methods 0.000 description 1
- 238000005979 thermal decomposition reaction Methods 0.000 description 1
- 238000000427 thin-film deposition Methods 0.000 description 1
- 210000003813 thumb Anatomy 0.000 description 1
- 238000009736 wetting Methods 0.000 description 1
- 229910052724 xenon Inorganic materials 0.000 description 1
- FHNFHKCVQCLJFQ-UHFFFAOYSA-N xenon atom Chemical compound [Xe] FHNFHKCVQCLJFQ-UHFFFAOYSA-N 0.000 description 1
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76841—Barrier, adhesion or liner layers
- H01L21/76843—Barrier, adhesion or liner layers formed in openings in a dielectric
- H01L21/76846—Layer combinations
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/22—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
- C23C16/30—Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
- C23C16/34—Nitrides
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C28/00—Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D
- C23C28/30—Coatings combining at least one metallic layer and at least one inorganic non-metallic layer
- C23C28/32—Coatings combining at least one metallic layer and at least one inorganic non-metallic layer including at least one pure metallic layer
- C23C28/322—Coatings combining at least one metallic layer and at least one inorganic non-metallic layer including at least one pure metallic layer only coatings of metal elements only
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C28/00—Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D
- C23C28/30—Coatings combining at least one metallic layer and at least one inorganic non-metallic layer
- C23C28/34—Coatings combining at least one metallic layer and at least one inorganic non-metallic layer including at least one inorganic non-metallic material layer, e.g. metal carbide, nitride, boride, silicide layer and their mixtures, enamels, phosphates and sulphates
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76841—Barrier, adhesion or liner layers
- H01L21/76871—Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
- H01L21/76873—Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroplating
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76841—Barrier, adhesion or liner layers
- H01L21/76871—Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
- H01L21/76874—Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroless plating
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/28—Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
- H01L21/283—Deposition of conductive or insulating materials for electrodes conducting electric current
- H01L21/285—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
- H01L21/28506—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
- H01L21/28512—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
- H01L21/28568—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising transition metals
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2221/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
- H01L2221/10—Applying interconnections to be used for carrying current between separate components within a device
- H01L2221/1068—Formation and after-treatment of conductors
- H01L2221/1073—Barrier, adhesion or liner layers
- H01L2221/1084—Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
- H01L2221/1089—Stacks of seed layers
Landscapes
- Chemical & Material Sciences (AREA)
- Engineering & Computer Science (AREA)
- Inorganic Chemistry (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- Manufacturing & Machinery (AREA)
- Materials Engineering (AREA)
- Mechanical Engineering (AREA)
- Metallurgy (AREA)
- Organic Chemistry (AREA)
- Physics & Mathematics (AREA)
- Power Engineering (AREA)
- General Physics & Mathematics (AREA)
- Chemical Kinetics & Catalysis (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- General Chemical & Material Sciences (AREA)
- Electrodes Of Semiconductors (AREA)
- Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
- Chemical Vapour Deposition (AREA)
- Physical Vapour Deposition (AREA)
- Parts Printed On Printed Circuit Boards (AREA)
- Other Surface Treatments For Metallic Materials (AREA)
Description
535254 經濟部智慧財產局員工消費合作社印製 A7 B7 五、發明說明() 螢j月範圍: 本發明係關於銅金屬化之阻障層結構及形成該結構之 方法。 I明背景: 耐火金屬及其氮化物已於鋁金屬化技術中廣泛地使用 為襯墊/阻障層。例如,經常使用鈦及氮化鈦(Ti/TiN)來改 善附著力及減少鋁擴散至下層。但是,於先進之積體電路 製造中,漸漸地增加銅(Cu)及其合金用做金屬連接因為它 們具有比鋁還低的電阻率及較佳的電子漂移特徵。 在接觸器或介層結構中形成之銅金屬連接,可利用化 學氣相沉積(CVD)沈積氮化鈦阻障層以在接觸器或介層上 提供保形的同階覆蓋。然後再於氮化鈦阻障物上形成銅層 而填入該接觸器或介層。其後,使用諸如化學機械拋光之 平面化技術以移除位於接觸器或介層外部的銅/氮化鈦堆疊 而形成平面結構,該結構包括在接觸器或介層内部的銅 栓。通常,銅層可利用電鍍、CVD或物理氣相沉積(PVD) 法來形成。於電鐘實例中,通常於氮化鈦阻障層上形成相 當薄的銅種子層,以使隨後的銅電鍍容易。 雖然CVD氮化鈦可提供保形的同階覆蓋,於現在的銅 金屬化技術中則使用PVD之Ta及TaN做為阻障物材料,因 為於PVD之Ta或TaN表面上形成的銅層具有好的(in)方 位’此方位為想要的因為其可提供較好的電子漂移阻抗及 可改善生命週期。雖然其會限制同階覆蓋,但對現在的應 4 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) --------------------訂---------给|^ (請先閱讀背面之注意事項再填寫本頁) 535254 A7 B7 五、 發明說明( 用來說做為阻障層之p VD的Ta或TaN性能已足夠。但是’ 對未來的應用來說需要能提供保形的同階覆蓋之CVD阻 障層。因此,目前正在進行形成改善的阻障層之需求,以 合適於未來數代的銅金屬化製程。 明目的及概诚: 本發明係關於金屬化之阻障層結構及形成該結構之 方法。本發明之具體實施例提供一種方法,其使用化學氣 相沉積形成第一阻障層、使用物理氣相沉積形成第二阻障 層及於第二阻障層上形成至少一層銅層。 1示簡單說明: 本發明之教導可使用下列詳細的說 的圖形而容易地了解,其中·· 佧奴著相關 第1圖闡明合適用來實行本發明的 八貫施例凌敕人 造系統; j <正合的製 第2圖為合適用來實行本發明之具 、實施例的物 ^ 積室之圖式表示圖; 里现相 >儿 第3圖為併入本發明之具體實施 ^ 灰造流程圖· 弟4a-e圖為進行金屬化製程之基材的部分 问, 第5圖為在氮化鈕層上使用物理氣相沉積截面圖, X-射線繞射光譜圖; 、v成之鋼層’其 第6圖為在氮化鈦層上使用化學 、 几積形成之翻爲甘 X-射線繞射光譜圖; 層’其 本紙張尺錢財關家標準(CNS)A4規格(210 X 297公餐 -----------I—警------- —訂---------缴一 (請先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 535254 經濟部智慧財產局員工消費合作社印製 A7 B7 五、發明說明() 第7 a-b圖為沈積在二種不同基材上之銅層的掃描式電子 顯微圖; 第8圖為合適用來實行本發明的具體實施例之化學氣相沉 積室的圖式表示圖;及 第9圖為合適用來實行本發明之具體實施例的另一種物理 氣相沉積室之圖式表示圖。 為了容易了解,已儘可能使用相同的參考數字來指出 於圖中相同之共同元件。 圖號對照說明: 100-整合的製造系統 102-CVD 室 104-PVD 室 110-控制單元 1 12-CPU 114-支援電路系統 116-記憶體 118-軟體 120-訊號匯流排 202-真空室 204-氣體來源 206-幫浦系統 208-電源 2 10-標的 212-台座 238-冷凝器 214-擋板 216-起模機械裝置 2 1 8 -反應區域 220-基材 222-薄膜 224-電源 226-磁鐵 230-線圈 232-AC來源 234-加熱器電能供應器 236-電阻加熱器 400-基材 6 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) --------------------訂---------漆 (請先閱讀背面之注意事項再填寫本頁) 535254 A7 B7 經濟部智慧財產局員工消費合作社印製 五、發明說明() 402-絕緣層 406-半導體層 404S-側邊 4 10-第二阻障層 414-金屬層 802-室主體 805-電阻加熱器 808-環狀幫浦管道 8 14-電源 840-蓮蓬頭 844-氣體注入器 864-環狀絕緣環 880-真空泵 9 14-標的 922-DC電能供應器 926-質量流量控制器 950-室 954-磁鐵 958-磁性偏轉線圈 960-網柵電極 962-不同的DC電能供應器 發明詳細說明: 本發明係關於金屬化 404-開口 404B-開口底部 408-阻障層 412-金屬種子層 800-CVD 室 804-台座 806-隙缝閥8 1 2 -定心玉募 816-RF來源 842-通道 850-製程區域 870-幫浦腔 890-基材 920-擋板 924-氣體來源 938-高密度控制器 9 5 2 -減低尺寸的電磁管 956-環狀磁鐵9 5 9 -中心軸 966-電能供應器 阻障層結構及形成該結構之 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) --------------------訂 ---- (請先閱讀背面之注意事項再填寫本頁)
535254 五、發明說明() 方法。本發明家已知未來的銅(Cu)金屬化應用需要使用具 有保形的同階覆蓋之阻障層(諸如其可使用化學氣相沉積 (CVD)來提供),以防止於接觸器、介層或溝槽的側邊處之 銅擴散。但是,本發明家亦熟知沈積在CVD氮化鈦阻障層 上的銅層不具有需要用來改善電子漂移特徵之強有力的 (111)結晶方位。 因此,根據本發明之具體實施例,該阻障層結構包括 一雙層,其中第一阻障層利用化學氣相沉積(CVD)形成及 罘二阻障層利用物理氣相沉積(PVD)形成。第一阻障層典 土地匕括種金屬或一種金屬氮化物,例如鎢(w)、鈥 (Ti)、鋰(Ta)、氮化鎢(WN)、氮化鈦(TiN)、氮化妲(TaN)、 三元的氮化物(諸如氮化鈦矽(TiSiN))及其它類似物。第二 阻障層包括一種金屬或其氮化物,例如耐火金屬或其氮化 物諸如Ta、TaN、w、簡、TUTiN、或三元的氮化物。 該CVD阻障層可提供側邊的阻障物保護,同時該ρν〇阻障 層可提供對隨後的銅沈積有好的界面,以進一步加強銅層 的(111)方位而改善對電子漂移的阻抗。 設備 本發明之製程可於單-的多室中、或具有PVD及CVD 室二者之整合的製造系統(例如,'组工具)中、或個別的 製造系統中進行。較佳地為使用整合的製造系統,因為基 材可保持在真空環境中以防止在製程步驟間的污染。整合 的製造系統之實例包括,主^ ^ 1 j匕祜 女木拉(ENDURA®)及仙朵拉 8 本紙張尺度適用中關家標準(CNS)A4規1 (210 X 297公£3 --一 ^---------^ (請先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 經濟部智慧財產局員工消費合作社印製 535254 Α7 ________ Β7 五、發明說明() (CENTURA®)平台與相關的製程室諸如VECTRA IMPtm、 SIPTM、協調及標準的 PVD室(Coherent and Standard PVD chamber)、或TxZTM CVD室及其它類似物一起使用。這些 整合的製造系統及室可從位於加州之聖克拉拉的應用材 料有限公司商業上購得。 第1圖描述出合適用來實行本發明的具體實施例之整 合的製造系統1 〇 〇之圖式表示圖(例如,安朵拉系統)。類 似的階段-真S晶圓製造系統揭示於1 9 9 3年2月1 6日由泰 普門(Tepman)等人主張之美國專利案號5,186,718,發表名 稱為”階段-真空晶圓製造系統及方法”,其以參考之方式 併於本文。於本文中顯示的系統1 00之特別的具體實施例 合適用來製造平面基材(諸如半導體基材),及可用來闡明 本發明之具體實施例’及不應用做本發明之任何觀點的範 圍限制。系統1 00典型地包括一組相連接的製程室,例如, CVD 室 102及 PVD 室 104。 本發明之方法可使用電腦程式產品或於傳統的電腦 系統中執行之微處理控制器做為工具。如闡明於第1圖, 控制單元110包括中央處理器單元(cpu)11 2、支援電路系 統(support circuitry) 114及含相關的控制軟體U8之記憶 體116。控制單元112用來自動化控制數個晶圓製程所需的 步驟-諸如晶圓運輸、氣體氣流控制、溫度控制、室排空 等等。在控制單元1 12及整合的製造系統ι〇〇之不同的構件 間的雙向通訊透過數條共同地指為訊號匯流排12〇的訊號 電纜來處理,某些電纜於第1圖中闡明。 9 本紙張尺度適用中關家標準(CNS)A4規格(21G X 297公爱) ------—- ---------------------訂----- (請先閱讀背面之注咅心事項再填寫本頁)
Mri 經濟部智慧財產局員工消費合作社印製 535254 A7 ---- B7 五、發明說明() PVD言 第2圖闡明合適用來實行本發明之具體實施例的Pvd 室104之實例其截面圖。該Pvd室104包括真空室202、氣 體來源204、幫浦系統206及標的電源208。在真空室202 内部為標的2 1 0、可垂直地移動的台座2 1 2及包住反應區域 218的擋板214。起模機械裝置216與台座212結合以定出台 座2 1 2與標的2 1 0之相對位置。 基材220由台座21 2支持在室202中,及通常配置在離 標的210—段距離。台座212可在室202中使用起模機械裝 置2 1 6沿著垂直移動範圍移動。可使用連接至加熱器電能 供應器234之電阻加熱器236以將基材220維持至所需的製 程溫度。對需要較低溫度的製程來說,可使用接合至台座 2 1 2的冷凝器23 8來冷卻台座2 1 2至所需的操作溫度。 雖然標的210可包括絕緣體或半導體(其為欲沈積的材 料)’該標的2 1 0通常包括金屬,例如鈥(Ti)、麵(Ta)、鶴 (W)、銘(A1)、銅(Cu)、鎳(Ni)及其它類似物。此外,標的 2 1 0亦可包括數種組分以用來沈積多組分薄膜。該標的2 j 〇 結合至該標的電源208。 標的電源208可包括DC來源、無線電輻射頻率(RF)來 源或DC-脈衝來源。當施加電能至標的2丨〇時,電漿從反應 區域218的製程氣體中形成’包括離子、電子及中性原子。 若該標的電源208為DC或DC-脈衝,則標的210做為負偏壓 的陰極同時擒板2 1 4做為接地的陽極。若該標的電源2 〇 $ 10 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) I—警------- 丨訂----- (請先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 535254 A7 ----------- Π7___ 五、發明說明() 為RF來源,則擋板214典型地接地及於標的21〇之電壓相對 万;擋板214的典線電輻射頻率(典型地為ι3·56μΗζ)而不 同。於此實例中,電漿中的電子累積在標的21〇處以產生 自身-偏壓的電壓而對標的21〇負向地施加偏壓。 該電場加速該製程氣體離子朝向標的210而從標的 210濺射出標的粒子。這些標的粒子亦可於電漿中離子 化。此構造可使從標的21 〇濺射出及離子化之標的粒子能 夠沈積到基材220上以形成薄膜222。該擋板214會將反應 區域2 1 8中的濺射粒子及電漿氣體限制在室2〇2中,以防止 標的材料沈積在台座2 1 2下或標的2 1 0背面等不想沈積的 地方。 在濺射沈積期間,可將惰性氣體(諸如氬(Ar)、氙 (Xe)、氖(Ne)或某些其它的惰性氣體)導入真空室202中。 可使用幫浦系統206來控制室壓。例如,使用約1〇〇-24,000 瓦(更典型地約100-10,000瓦)的DC偏壓從惰性氣體產生 電漿以濺射標的2 1 0。使用電漿從標的濺射出標的材料及 將該材料沈積在基材220上。 PVD室1 04可包括其它構件以改善濺射沈積製程。例 如,電源224可結合至台座212以對基材220施加偏壓,以 控制於基材220上沈積的薄膜222。電源224典型地為具有 頻率,例如約400kHz,或在約350至約450kHz之間的AC來 源。當施加偏壓電源224時,會在基材220及台座212處會 引起負的DC補償(由於電子的累積)。於基材220處的負偏 壓吸引已離子化之濺射的標的材料。該標的材料通常在實 11 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) ---------------------訂---------綠- C請先閱讀背面之注意事項再填寫本頁) Α7
535254 五、發明說明() 負上與基材220的正交方向吸引至基材22〇。諸如,與無加 偏壓的基材220比較,該偏壓電源224可改善沈積的材料之 同階覆蓋。 PVD室104亦可包括一磁鐵226或放置在標的21〇背面 的磁性次-組合物以產生最接近標的21〇的磁場。此外,線 圈23 0可最近地配置在擋板214内而在標的21〇及基材212 之間。該線圈230可包括單圈線圈或多圈線圈,當供給能 量時,其可幫助產生及維持在標的210及基材211間之電 漿。該製程熟知為離子金屬電漿(IMp)沈積。線圈23〇通常 連接至具有頻率例如約2MHz的AC來源232。VECTRA IMP 室之細部已揭示在1999年11月1曰共同地簽屬之美國專利 申請序號09/430,998中’發表名稱為”以重氣體賤射<IMp 技術”,其以參考之方式併入本文。 本發明之具體實施例亦可使用另一種含自身-離子化 電漿(S IP)之Ρ VD技術來進行。於SIP製程中,初始地使用 惰性氣體(諸如氬)激發成電漿。在電漿激發後,終止惰性 氣體氣流,及該沈積電漿使用產生自濺射標的之離子來維 持。當從標的濺射出之原子有足夠的數量離子化時此自身 -離子化的沈積電漿可持續,及以足夠高的能量吸引回標 的以提供做為濺射離子而取代更多典型的氬離子。此製程 可於SIPTM的PVD室中完成,此室可從位於加州之聖克拉 拉的應用材料有限公司購得。 第9圖為類似於已揭示在1997年5月8曰共同地簽屬於 申請中的美國專利申請序號08/854,008中,發表名稱為υ 12 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公f ) .-!%------- 丨訂---------缘j (請先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 535254 A7 ---------- B7 五、發明說明() (請先閱讀背面之注意事項再填寫本頁) 具有增加密度的電漿之持續自身_濺射反應器,,的室之圖 式表不圖’其以參考之方式併於本文。該室950的許多構 件類似於傳統的PVD室,例如,對標的9 i 4施加偏壓之DC 電能供應器922、用來控制提供從氣體來源924來之工作氣 體的負量流量控制器926、用來保護室95〇壁而隔離濺射材 料之擔板9 2 0及其它類似物。但是,還有一些可提供額外 的製程改良 < 特徵。例如,這些特徵包括減低尺寸的電磁 管952、網柵電極96〇及可施加相對於網柵96〇之偏壓的台 座 918。 減低尺寸的電磁管952(具有比於傳統的Pvd室中使用 的還小之尺寸)可包括一極為鈕扣磁鐵954及另一極為環 狀磁鐵956而面對標的914之背面。於磁鐵954、956背面之 磁性偏轉線圈95 8會限制磁場。具有減低尺寸的電磁管 952 ’可減低維持自身-離子化電漿所需的起始電能能階。 電磁管952可進一步配置在遠離室950的中心軸959處,及 繞著軸959圓形地掃描以改善濺射沈積的均勻性。 經濟部智慧財產局員工消費合作社印製 可將配置在標的9 1 4及台座9 1 8間之網柵電極9 6 0接地 以ί疋供做為陽極,或可使用不同的DC電能供應器962施加 笔壓(佳地為正壓)’或此外,可以RF施加偏壓以增加電漿 舍度。但是’咸信對許多應用來說接地該網栅9 6 〇即足夠。 網栅電極960較佳地配置在儘量接近標的914以有效地作 為陽極,即,提供一平面幾何以防止電漿延伸至擋板 920(因此減少損失濺射離子),若不夠接近則會妨礙於高 凌度電聚9 3 8中的電子軌跡。諸如,網柵電極9 6 〇可提供增 13 本紙張尺度適用中國國家標準(CNS)A4規格(21〇 X 297公釐) 經濟部智慧財產局員工消費合作社印製 535254 A7 __ B7 五、發明說明() 加的電漿密度及在離子運輸上之其它的控制。 於此室中,於室950中的台座918可使用可選擇的電能 供應器9 6 6來施加電偏壓’特別是相對於網拇9 6 〇,其中該 電能供應器可為DC電能供應器、RF電能供應器或其組 合。此台座之偏壓可在各自獨立地施加電偏壓條件下相對 地進行,該條件為需要用來產生該電漿及增加該電漿離子 密度。因此,該台座之偏壓對高方向性的沈積(例如,對 填入高縱深比率的形狀部分來說,諸如接觸器、介層或溝 槽)及其它製程特徵來說可更自由地最佳化。 CVD室 第8圖闡明合適用來進行本發明之製程的cvd電漿室 之圖式截面圖。例如,此CVD室之細部已揭示於1998年12 月8日共同地簽屬之美國專利案號5,846,332中,發表名稱 為”於化學氣相沉積室中之熱漂浮台座軸環”,及於1999年 11月30日共同地簽屬之美國專利案號5,993,91 6中,發表名 稱為”具改善產量及產率之基材加工方法”,二者以參考之 方式併於本文。CVD室800適合於減壓環境下操作,該減 壓環境可經由幫浦管道8〇8連接至真空泵880而形成。室 800包括室主體802及裝載著欲加工的基材890之台座 804。基材890透過隙縫閥806傳進及傳出室8〇〇,及使用定 心環8 1 2放置在台座8 0 2中心。合適的機械傳遞組合可將基 材傳進及傳出系統,而該組合諸如描述在199〇年8月28日 共同地簽屬之美國專利案號4,951,601中,發表名稱為,,多 14 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) --------------------訂---------缴^!· (請先閱讀背面之注意事項再填寫本頁) 535254 經濟部智慧財產局員工消費合作社印製 A7 B7 五、發明說明() 室整合的製造系統”,全文以參考之方式併於本文,。 在製程期間’基材890放置在儘量接近至氣體分佈面 板或蓮蓬頭840處,其包括大量的通遒842以讓從氣體注入 器844來的製程氣體流入室8〇〇内部的製程區域850。當製 程氣體在加熱的基材890處反應時,在基材890表面上發生 薄膜沈積。隨後地將任何過量的製程氣體及副產物透過連 接至幫浦腔870之環狀幫浦管道808抽出室800。 第8圖之CVD室8 00可以二種模式操作,熱式及電漿_ 促進式。於熱模式中,電源814提供電能至台座804的電阻 加熱器805。台座802及基材890因此維持在足以熱活化 CVD反應的高溫。於電漿-促進模式中,從rf來源8 16來的 RF電能施加至蓮蓬頭840以作為上電極。蓮蓬頭840使用環 狀絕緣環8 6 4 (典型地由不導電的陶瓷製造)與室8 〇 〇的基 座電絕緣。由RF來源8 1 6施加足夠的電壓及電能以在製程 區域850中從製程氣體產生電漿。將室8〇0設計成可減少在 不同的室構件上不想要的沈積-例如,將定心環8 1 2的溫度 維持在比台座8 0 4低,如此可減少於定心環之薄膜沈積。 CVD室800可使用於具不同前驅物氣體之熱或電漿促 進的CVD方法,該前驅物包括金屬有機前驅物(例如,四_ (二基胺基)飲化合物)或四_化欽。 可將金屬有機前驅物,例如四(二甲基胺基)鈥 (Ti(N(CH3)2)4或TDMAT),透過蓮蓬頭840注入室8〇〇。室 壓維持在範圍約0.01托耳至約50托耳中,同時台座8〇4將 基材890維持在溫度至少約l〇〇°c,或較佳地約3〇〇。匚_5〇〇 15 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) ΙΦ4 —訂---------線 (請先閱讀背面之注意事項再填寫本頁) 535254 A7 B7 i、發明說明() °C。TDM AT之熱分解會於基材890上產生導電及保形的氮 化鈦層之沈積。 製程 第3圖為一製程流程圖,其闡明併入本發明之具體實 施例的金屬化方法。該製程程序可於含CVD及PVD室二者 之多室系統中執行。於步驟3 01中,例如第一阻障層使用 CVD於基材上沈積。該基材可包括具有開口之結構,諸如 於絕緣層中形成之接觸器、介層或溝槽。該開口可延伸過 絕緣層至下層導電或半導電層以形成金屬接觸。第一阻障 層可為一金屬、金屬氮化物或三元的氮化物,諸如Ti、Ta、 W、TiN、TaN、WN或TiSiN。依特別的應用或技術而定, 可使用不同的厚度做為第一阻障層。例如,對次-0·35微米 應用來說,適當的厚度為少於約3〇〇埃,例如,在約25及 約1 00埃之間,較佳地約5 〇埃。典型地,CVD阻障層的厚 度應該足夠’使得其可沿著開口側邊提供適當的阻障物保 護。 經濟部智慧財產局員工消費合作社印製 根據本發明之具體實施例,然後於第一阻障層上沈積 第二阻障層’如顯示在步驟3〇3。第二阻障層可為使用pvD 沈積之金屬或金屬氮化物,例如Ta、TaN、Ti、TiN、W或 WN、或三元的氮化物。可使用不同的pvD技術諸如標準 濺射、IMP或SIP。該第二阻障層及該第一阻障層可形成一 雙層阻障物堆疊,而可有效地防止於隨後沈積的金屬層中 之金屬擴散至下層的導電或半導電層或至絕緣層。所需的 16 本紙張尺度_巾酬緖準(CNS)A4規i(2i〇x 297公餐7 535254
五、發明說明() 經濟部智慧財產局員工消費合作社印製 第二阻障層厚度可依第一阻障層的厚度而定。例如,若使 用較厚的第一阻障層,則第二阻障層較薄即可足夠。通 常,可使用之第二阻障層厚度範圍在約25及約300埃之 間,較佳地約100埃。例如,若第一阻障層的厚度約5〇 = 則可使用的第二阻障層之厚度約100埃。依特別的應用或 技術而定,亦可使用其它厚度。 於步驟305中,可於第二阻障層上至少形成—層金屬 層。通常,該金屬層可使用不同的沈積技術諸如pvD或 CVD來形成。該金屬層較佳地就地沈積在第二阻障層上, 即,在相同的整合製造系統中或沒有將第二阻障層至曝露 大氣氛下。如此,可避免該阻障物及金屬層界面之不想要 的污染物。 於一個具體實施例中,該金屬層可為銅(Cu)層,其可 使用CVD或PVD沈積至不同的厚度,如闡明於步驟3〇7。 銅層之厚度為至少足以填滿於基材中的開口(例如,接觸 器、介層或溝槽)。 另一個具體實施例則由步驟3 〇 9及3丨丨來闡明。於步驟 3 09中’金屬種子層(例如,銅)可使用pvD(諸如IMp4 sip) 沈積在第二阻障層上。使用金屬種子層以使隨後的金屬本 體層之形成容易。可使用的金屬種子層厚度在約1〇〇及約 2000埃之間。於一個實例中,該銅種子層沈積在pVD阻障 層(例如,Ta或TaN)上。與在CVD阻障層上形成之銅層比 較’该產生的銅種子層具有加強的(丨1丨)方位。再者,P VD 之Ta或TaN層會對銅種子層提供一個好的界面而產生最 17 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) ----------1.¾------ (請先閱讀背面之注意事項再填寫本頁) 訂----- 535254 Α7 Β7 五、發明說明() 小的銅結塊。於步驟3丨丨中,另一層銅層(或更通常地,金 屬本體層)沈積在PVD銅種子層上。此銅層經常使用電鐘 (ECP)來沈積’與其它技術諸如CVD或PVD比較,此方法 可以相當咼的沈積速率形成銅本體層。例如,對現在最多 的應用來說通常地使用約1〇,000埃的厚度,雖然亦可適當 地使用其它厚度至該裝置結構。 其後,含銅及阻障物結構之該基材可接受進一步的製 程步驟(無顯示)。例如,這些隨後的製程步驟可包括化學 機械拋光(CMP),其用來形成平面化在開口内部的金屬 栓。 第4a-e圖為根據於第3圖中闡明的一個具體實施例,其 於金屬化製程的不同階段之基材的部分截面圖。第4a圖為 具有開口 404的基材400,而該開口於已沈積在下層導電或 半導電層406上之絕緣層402上形成。名稱,,基材”通常地指 為任何工件諸如半導體晶圓,其亦可包括其它在上面形成 的材料層。開口 404可為延伸至導電或半導電層406之表面 的接觸器、介層或溝槽,及該層406可包括矽、聚矽、銅、 鎢、導電矽化物及其它類似物。 第4b圖顯示出使用CVD沈積的第一阻障層408。第— 阻障層408可為一種金屬諸如Ti、Ta、W,或一種導電氮 化物諸如TiN、TaN、WN或TiSiN,及其它類似物。例如, 該沈積可於多種的CVD室中使用熱或電漿促進的方法來 進行。例如,TxZ的CVD室(可從位於加州之聖克拉拉的庭 用材料有限公司購得)可合適地用來沈積第一阻障層 18 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) ----------:I— (請先閱讀背面之注意事項再填寫本頁)
訂---------線I 經濟部智慧財產局員工消費合作社印製 535254 經濟部智慧財產局員工消費合作社印製 A7 ___B7 ______ 五、發明說明() 408。如闡明於第4b圖,使用CVD可保形地沈積第一阻障 層408而在側邊404S上及於開口 404的底部404B二者提供 好的同階覆蓋。使用CVD形成之第一阻障層408相當適合 於具高縱深比率形狀之次微米應用,因為於側邊404S處需 要適當的同階覆蓋以避免不想要的金屬擴散進入絕緣層 402 ° 於一個闡明的具體實施例中,氮化鈦阻障層沈積自含 Ti的前驅物,諸如四(二甲基胺基)鈦(TDMAT)或其它有機 金屬化合物。於TxZ室中進行沈積,其使用惰性載體氣體 (例如,氦(He))於流速約375 seem下將TDMAT導入室中。 該氮化鈥阻障層於壓力約5托耳及溫度約380 °C對TDM AT 進行熱分解而沈積在基材400上。於此引用的製程參數意 欲闡明及可適當地調整以適合其它的應用、製程室及不同 的結構及尺寸之基材。 典型地使用電漿處理步驟來處理接著沈積的氮化鈦 薄膜。可就地進行該電漿處理而沒有從τ X z室中移開基 材。例如’處理電漿可藉由施加約7 5 〇瓦的無線電輻射頻 率(RF)電能至於TxZ室中的電極,從流速約3〇〇 sccm的氮 (NO及流速約450 sccm的氫(H,)之混合物而產生。典型地 於壓力約1.3托耳及溫度約380它下進行該電漿處理。例 如,可使用該電漿處理來減低沈積薄膜的電阻率。於一個 具體實施例中,對50埃厚的薄膜來說在電漿處理之後可獲 得約300微歐姆-公分的薄膜電阻率。經了解亦可使用多種 的CVD技術來沈積其它金屬氮化物做為第一阻障層4〇8。 19 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297 ------------- 丨訂---------線ϋΡ" (請先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 535254 A7 -----B7 五、發明說明() 例如’三元的氮化物(諸如TisiN)之形成可首先沈積一氮化 欽層’然後於含矽的環境(例如,矽烷)下處理該氮化鈦層 以形成TiSiN。此外,矽烷可加入至其它含Ti的氣體混合 物以形成TiSiN。 在形成CVD阻障層408後,形成第二阻障層410,如顯 示在第4c圖。根據本發明之具體實施例,使用Pvd技術(例 如’標準濺射、IMP或SIP)形成第二阻障層410,其可於多 種PVD室(諸如那些可從位於加州之聖克拉拉的應用材料 有限公司購得)中進行。該第二阻障層41〇典型地包括一金 屬或金屬氮化物,諸如Ta、TaN、Ti、TiN、W、WN,或 三元的氮化物諸如TiSiN,及其它類似物。雖然在開口 404 的側邊404S上形成相當薄的第二阻障層41〇(由於Pvd技 術不具有保形的本質),於側邊的金屬擴散仍然可使用本 發明之阻障物結構由於第一阻障層4〇8之存在而有效地防 止。 於一個具體實施例中,沈積在第一阻障層408上之Ta 或TaN層的厚度在約25及約300埃之間,較佳地約100埃。 該T a或T aN阻障層可使用適當的標的材料及製程氣體以 於技藝中熟知的製程條件下沈積。對使用IMP沈積的Ta來 說’將惰性氣體(例如,氬)於流速約3 5 seem,及壓力約35 毫托耳下導入IMP室。該沈積較佳地於二個分離的階段進 行。於第一沈積階段中,電漿藉由施加約100〇瓦的DC電 能至Ta標的及一起施加約1 500瓦的RF電能至IMP室的線 圈而產生。該基材溫度可維持在少於約1 〇 〇 °C,包括例如 20 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) " ---------------------訂---------線* (請先閱讀背面之注意事項再填寫本頁) 535254 A7 -----B7 五、發明說明() 室溫或華氏零下溫度。在此第一階段期間,於基材上在沒 有施加任何偏壓下繼續沈積Ta數秒。於第二沈積階段,施 加約350瓦的AC偏壓電能至基材,其它參數則與第一沈積 階段相同。第二沈積階段的時間通常與第一沈積階段相 同。例如,可獲得厚度約1 〇〇埃的以層,於各自的沈積階 段使用之沈積時間約3至5秒。可使用二階段沈積程序以最 佳化沈積的Ta層之均勻性。於其它具體實施例中,例如, 該Ta層410亦可於單一階段沈積中形成(不論基材有無施 加AC偏壓),或於多階段沈積下以不同的基材偏壓組合而 形成。經了解於本文中揭示的製程參數意欲闡明-例如, 合適於直徑約200毫米的加工基材。因為製程參數趨向於 與設備相關,它們可因其它製程應用、室結構及/或基材 尺寸而適當地調整。 此外,可使用IMP沈積TaN做為第二阻障層4 1 0。例 如,典型地可使用含惰性氣體及氮(N2)之製程氣體混合 物,而A氣體與濺射的Ta反應以產生TaN。於一個具體實 施例中,可於不同的階段沈積TaN層。在第一階段期間, Ar流速約56 seem而N2流速約35 seem。室壓維持在約35毫 托耳。電漿可藉由施加約1000瓦的DC電能至Ta標的,同 時施加約25 00瓦的RF電能至IMP室中的線圈而產生自製 程氣體混合物。該基材的溫度維持在約1 〇 〇。(3或較低(例 如,包括室溫或華氏零下溫度),TaN層在沒有對基材施加 任何偏壓下於基材上沈積。在第二沈積階段期間,對基材 施加約400瓦的AC電能偏壓,同時其它製程參數維持在與 21 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) (請先閱讀背面之注意事項再填寫本頁) --------訂---------線 · 經濟部智慧財產局員工消費合作社印製 535254 經濟部智慧財產局員工消費合作社印製 A7 五、發明說明() 第一步驟相同的設定。在完成第二階段的TaN沈積後終止 A流動’同時於隨後的第三階段中維持ac偏壓、DC及RF 電能約2秒。在此第三階段期間,TaN層表面由於缺乏n2 流動而改質成富含Ta。經發現藉由形成富含丁&的TaN層表 面’可在阻障層及隨後沈積的金屬層之間獲得好的接觸電 阻。經了解此三階段TaN沈積意欲闡明,及於形成第二阻 障層410中可使用其它TaN沈積順序。再者,亦可適當地調 整不同的製程參數以適合不同的應用需要、製程室結構及 /或基材尺寸。 在形成第二阻障層410之後,然後形成金屬層412,如 顯π於第4c圖。根據本發明之一個具體實施例,該金屬層 412為可使用!>¥1)(例如,1厘?或311>)形成之銅((:11)種子層, 及典型地沈積至厚度在約1〇〇及約2000埃之間。可使用不 同的PVD室使用於技藝中熟知的製程參數來形成銅種子 層412。於使用SIP室的典型具體實施例中,首先施加約 6 000瓦的DC電能至銅標的從Ar氣流激發出電漿。一旦產 生電漿則終止Ar氣流,及將DC電能增加至約24,000瓦,或 在約500及約3 0,000瓦之間。不像IMP製程,該電漿使用鋼 離子本身(因此名為”自身離子化的電漿”)來持續,而不需 要施加RF電能至線圈。典型地,亦使用約1 75瓦的ac電能 以對基材施加偏壓,其維持在約室溫或較低(例如,2(rc 或華氏零下溫度)。可藉由對基材施加偏壓而改善沈積的 均勻性及同階覆蓋。 當使用PVD於PVD之Ta或TaN層410上沈積銅種子層 -----------.1¾------- 丨訂---- (請先閱讀背面之注意事項再填寫本頁) 緣«· 22 535254
經濟部智慧財產局員工消費合作社印製 五、發明說明() 412時,與沈積在CVD阻障層上的銅層比較,會產生具可 加強顆粒生長之好的(1 1 1)方位之銅層4 1 2。( 1 1 1)方位對長 時間對抗電子漂移及壓力漂移之信賴度很重要。於PVD之 TaN層410上加強的(1 1 1)方位之銅層412 (比較至在CVD氮 化鈦層上的銅層)可使用二個X-射線繞射(XrD)光譜來闡 明,顯示在第5及6圖。 第5圖顯示出沈積在厚度約250埃之PVD的TaN層上之 500埃銅層的XRD光譜圖。第5圖之銅/TaN層結構已於約 3 5 0 °C的惰性大氣氛中退火約3 0分鐘。該XRD光譜顯示出 於角度約44度處(其為(111)方位的象徵)有強有力的波奪 (例如,約1200個計數)。雖然第5圖之銅層使用SIP沈積, 亦可使用其它PVD技術,因為加強的(ill)方位與用來形成 銅層之特定的PVD技術相當地無關。 加強的銅(1 1 1)方位進一步地藉由比較第5圖與第6圖 的XRD光譜而闡明。第6圖顯示出於厚度約5 0埃的CVD氮 化鈦層上形成之5 00埃銅層的XRD光譜。再次,該銅層使 用SIP形成及該銅/氮化鈦層結構約3 5 〇 °C下接受熱退火約 30分鐘。第6圖之XRD光譜顯示出很弱的(1 1 1)波峰,例如, 比沈積在P VD的T aN層上之銅層所獲得的還弱約1 7倍。需 注意於CVD的TaN層上形成的PVD銅層亦產生類似的結 果’即,弱的(1 11)方位,如顯示於第6圖。 除了加強的銅(1 1 1)方位外,P VD的Ta阻障層對銅金屬 化提供另一種優點。例如,該T a表面對隨後的銅沈積提供 好的界面(可形成具最小的結塊之銅種子層),及好的附著 23 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) ---------」||噘------- 丨訂--------- 線"!Γ (請先閱讀背面之注意事項再填寫本頁) 535254
經濟部智慧財產局員工消費合作社印製 五、發明說明() 力特徵。此闡明於第7a-b圖,其為從”向外溼潤,,(dewetting) 實驗之掃描式電子顯微鏡(SEM)獲得的影像,其於各別地 在二層不同的下層上沈積之二層薄銅層(約30埃)上進 行。該向外除溼實驗包括在下層基材層上沈積該銅層,及 於、力350C的氲(H;2)中退火該基材約15分鐘。於理想的狀 況下’该鋼層將不具有任何結塊或從下層去積層。 第7a圖為在厚度約5〇埃的下層cvd氮化鈦層上之經 退火的30埃銅層之SEM影像。容易地觀察到形成小銅群或 結塊。此結塊通常不想要的,因為銅層之不均勻性會導致 隨後的電鍍銅本體層無均勻性。將此與第7b圖比較,其為 於本發明的下層阻障物雙層上之經退火的3〇埃銅層之 SEM影像。該雙層由沈積在厚度約5〇埃的cvd氮化鈦層上 之PVD的Ta層(約50埃)組成。於此實例中由於Pvd的Ta/ 銅界面之存在大大地改善銅結塊的問題。 第4d圖顯示出於金屬種子層412上的金屬層414隨後 沈積(或本體層)諸如銅。例如,銅本體層414可於 ELECTRAMtm Cu ECP 系統,或 ElectraTM Cu Integrated ECP(iECPTM)系統中使用電化學電鍍(Ecp)來形成,二系統 皆可從位於加州之聖克拉拉的應用材料有限公司購得。例 如’銅本體層414可從包括約〇·85Μ含適當的添加劑之硫酸 銅溶液於溫度約15°C下電鍍形成。該添加劑(熟知為
Electra plate X Rev· 1·0及 Electra plate Y Rev. 1.0)由 Lea Ronal of New York提供。由於該銅種子層412之較佳的 (111)方位,該銅本體層414亦形成加強的(1U)方位,而產 24 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) -------------— (請先閱讀背面之注意事項再填寫本頁) 訂---------線一 經濟部智慧財產局員工消費合作社印製 25 535254 A7 _B7_ 五、發明說明() 生具改善的抗電子漂移或應力漂移之銅金屬連接。 雖然已顯示及詳細地描述數個併入本發明之教導的 較佳具體實施例,熟知此技藝之人士可容易地設計出許多 其它不同的具體實施例,但是其仍然併入這些教導。例 如,於本文揭示的CVD及PVD方法初始地用於闡明目的。 經了解本發明之具體實施例可使用多種CVD及PVD製程 條件於不同的室中進行。 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) (請先閱讀背面之注意事項再填寫本頁)
Claims (1)
- 535254 ABCD 第办//94 >4號靜 W年/用修正 六、申請專利範圍 1·一種銅金屬化之方法,該方法至少包括下列步驟: (a) 利用化學氣相沉積形成第一阻障層; (請先閱讀背面之注意事項再填寫本頁) (b) 於第一阻障層上利用物理氣相沉積形成第二 障層;及 ~ (c) 於第二阻障層上形成一金屬層。 2·如申請專利範圍第1項之方法,其中該第 為罘—阻障層為一 金屬或金屬氮化物。 3·如申請專利範圍第2項之方法,其中該第一阻障層包括 一金屬,其選自鈦、鈕及鎢。 4·如申請專利範圍第1項之方法,其中該第—阻障層的厚 度在約25及約1〇〇埃之間。 5·如申請專利範圍第1項之方法,其中該第二阻障層包括 一金屬,其選自纽、数或鎢。 經濟部智慧財產局員工消費合作社印製 6.如申請專利範圍第5項之方法,其中該第二阻障層為备 或氮化纽。 7·如申請專利範圍第1項之方法,其中該第二阻障層之厚 度在約25及約300埃之間。 26 本紙張尺度適用中國國家標準(CNS)A4規格(210X297公釐) "~ ---- 535254 ABC 申請專利範圍 8·如肀請專利範圍第1項之方法, 其中該金屬層為銅 9·如申請專利範圍第8項之方 ^ ’其中步驟(c)至少包括: (d)於罘二阻障層上利用礼, 層;及 物理氣相沉積形成第一銅 (e)於第一銅層上形成第二 鋼層 10.如申請專利範圍第8項 的(111)方位。 之方法, 其中該銅層具有較佳 11·如申請專利範圍第9項之 <万法,其中該第 電鍍來沈積。 二銅層使用 (請先閲讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 I2.如申請專利範圍第1項之 ^ 万去,其中步驟(0至少包括: (d) 於罘二阻障層上形成一 ^ 種子層;及 (e) 於該種子層上利用電 电蝮形成一金屬層。 1 3 ·如申請專利範圍第1項之 … 去,其中步騾(C)至少包括: ⑷利用物理氣相沉積形成第一金屬層;及 ⑷於第-金屬層上利用化學氣相沉積形成第二金 屬層。 14·”請專利範圍第1項之方法,其中該金屬層利用化 學氣相沉積形成。 27 本紙張尺度適用中國國家標準(CNS)A4規格(210X297公釐) 訂* 華 535254 ABCD 申請專利範圍 15·如申請專利範圍第1項之方法,其中該第一阻障層為 金屬或金屬氮化物,其選自欽、纽、嫣、氮化欽、氛 化鈕、氮化鎢及氮化鈦矽;及該第二阻障層包括一金屬, 其選自鈕、鈦及鎢。 16·—種阻障層結構,其至少包括: 耐火金屬阻障層,其利用化學氣相沉積形成;及 耐火金屬氮化物阻障層,其利用物理氣相沉積於該 耐火金屬阻障層上形成。 17.如申請專利範園第16項之阻障層結構,其中該耐火金 屬阻障層包括一金屬,其選自鈦、鈕及鎢。 .......--_餐: (請先閱讀背面之注意事項再填寫本頁) 訂 經濟部智慧財產局員工消費合作社印製 1 8 ·如申凊專利範圍第1 6項之阻障層結構,其中該耐火金 屬氮化物阻障層包括一金屬,其選自备、鈥及嫣。 1 9 · 一種金屬化結構,其至少包括: 耐火金屬阻障層,其利用化學氣相沉積形成; 耐火金屬氮化物阻障層,其利用物理氣相沉積於該 耐火金屬阻障層上形成;及 第一金屬層,其於該耐火金屬象·化物阻障層上形 成。 28 本紙張尺度適用中國國家標準(CNS)A4規格(210X297公釐) 535254 六、申請專利範圍20.如申請專利範圍第19項之金屬化結構,其中該耐火金 屬阻障層選自鈦、氮化鈦、鈕、氮化鈕、鎢、氮化鎢及 氮化鈦矽。 21·如申請專利範圍第19項之金屬化結構,其中該耐火. 屬氮化物阻障層包括一金屬,其選自鈕、鈦及鎢。 “ 22·如申請專利範圍第19項之金屬化結構,其中該第— 屬層為於該耐火金屬氮化物阻障層上形成之種子層 該金屬化結構進一步包括於該種子層上形成之第一 金屬 層0 ........ (請先閱讀背面之注意事項再填寫本頁 > 經濟部智慧財產局員工消費合作社印製 23·如申請專利範圍第22項之金屬化結構 屬層利用電鍍來形成。 24·如申請專利範圍第23項之金屬化結構 及該第二金屬層二者均包含銅。 25·如申請專利範圍第19項之金屬化結構 屬層利用化學氣相沉積或物理氣相沉積形成。 2 6 · —種銅金屬化之方法,該方法至少包·括下列步驟· 利用化學氣相沉積法沉積耐火金屬阻障層; 於該耐火金屬阻障層上利用物理氣相沉積法沉 29 其中 金 其中該種子 其中該第— 訂 層 金 本紙張尺度適用中國國家標準(CNS)A4規格(210X297公釐) 535254 經濟部智慧財產局員工消費合作社印製 A8 B8 C8 D8 申請專利範圍 火今屬氮化物阻障層; 於該耐火金屬氮化物阻障層上利用物理氣相沉積法 沉積一銅種子層;及 在足以形成一(1 1 1)結晶方位的條件下沉積一鋼層 於該銅種子層上。 •如申請專利範圍第26項之方法,其中該耐火金屬阻障 層包含一或更多金屬,其選自姮、欽及鎢所組成的群組 中。 28·如申請專利範圍第26項之方法,其中該耐火金屬阻障 層的厚度在約25埃及約100埃之間。 29·如申請專利範圍第26項之方法,其中該耐火金屬氮化 物阻障層包括一金屬,其選自妲、鈦及鎢所組成的群組 中。 ' 30·如申請專利範圍第26項之方法,其中該耐火金屬氮化 物阻障層為氮化鈕、氮化鈦、氮化鎢、氮化鈦矽或氮化 31·如申請專利範圍第%項之方法,其中該耐火金屬氮化 物阻障層之厚度在約25埃及約300埃之間。 30 本紙張尺度適用中國國家標準(CNS)A4規格(210X297公釐) ——:........-¾.........、可——.——華 (請先閲讀背面之注意事項再填寫本頁) ABCD 535254 六、申請專利範圍 32.如申請專利範圍第26項之方法,其中該銅種子層係在 足以形成一(1 1 1)結晶方位的條件下沉積而成。 3 3 · —種銅金屬化之方法,該方法至少包括下列步驟·· 利用化學氣相沉積法沉積耐火金屬阻障層; 於該耐火金屬阻障層上利用物理氣相沉積法沉積耐 火金屬氮化物阻障層; 在足以形成一(1 11)結晶方位的條件下,於該耐火 金屬氮化物阻障層上利用物理氣相沉積法沉積一銅種子 層;及 在足以形成一(111)結晶方位的條件下沉積一銅層 於該銅種子層上。 .............::、耵——_——雜 (請先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 1A 3 本紙張尺度適用中國國家標準(CNS)A4規格(210X297公釐) 535254 f/_/糾,θ條正/更正/補兔116 118 控制單位 112 第1圖 CPU - i i己憶體 支援電 軟體 路系統 114
Applications Claiming Priority (1)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US09/635,738 US6562715B1 (en) | 2000-08-09 | 2000-08-09 | Barrier layer structure for copper metallization and method of forming the structure |
Publications (1)
Publication Number | Publication Date |
---|---|
TW535254B true TW535254B (en) | 2003-06-01 |
Family
ID=24548911
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW090119424A TW535254B (en) | 2000-08-09 | 2001-08-08 | Barrier layer structure for copper metallization and method of forming the structure |
Country Status (3)
Country | Link |
---|---|
US (1) | US6562715B1 (zh) |
TW (1) | TW535254B (zh) |
WO (1) | WO2002012589A2 (zh) |
Families Citing this family (69)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US6933021B2 (en) * | 1995-07-06 | 2005-08-23 | Applied Materials, Inc. | Method of TiSiN deposition using a chemical vapor deposition (CVD) process |
TW417249B (en) * | 1997-05-14 | 2001-01-01 | Applied Materials Inc | Reliability barrier integration for cu application |
US7030045B2 (en) * | 2000-11-07 | 2006-04-18 | Tokyo Electron Limited | Method of fabricating oxides with low defect densities |
US7781327B1 (en) | 2001-03-13 | 2010-08-24 | Novellus Systems, Inc. | Resputtering process for eliminating dielectric damage |
US6764940B1 (en) | 2001-03-13 | 2004-07-20 | Novellus Systems, Inc. | Method for depositing a diffusion barrier for copper interconnect applications |
US8043484B1 (en) | 2001-03-13 | 2011-10-25 | Novellus Systems, Inc. | Methods and apparatus for resputtering process that improves barrier coverage |
US7186648B1 (en) | 2001-03-13 | 2007-03-06 | Novellus Systems, Inc. | Barrier first method for single damascene trench applications |
KR100499557B1 (ko) * | 2001-06-11 | 2005-07-07 | 주식회사 하이닉스반도체 | 반도체소자의 배선 형성방법 |
JP2004533123A (ja) * | 2001-06-14 | 2004-10-28 | マトソン テクノロジー インコーポレーテッド | 銅接続用の障壁エンハンスメント工程 |
US6503824B1 (en) * | 2001-10-12 | 2003-01-07 | Mosel Vitelic, Inc. | Forming conductive layers on insulators by physical vapor deposition |
KR100440261B1 (ko) * | 2001-12-22 | 2004-07-15 | 주식회사 하이닉스반도체 | 반도체 소자의 금속 배선 형성 방법 |
US6727592B1 (en) * | 2002-02-22 | 2004-04-27 | Advanced Micro Devices, Inc. | Copper interconnect with improved barrier layer |
JP2003293126A (ja) * | 2002-04-09 | 2003-10-15 | Fujitsu Ltd | スパッタリングターゲット及びその製造方法 |
US6787912B2 (en) * | 2002-04-26 | 2004-09-07 | International Business Machines Corporation | Barrier material for copper structures |
US6812143B2 (en) * | 2002-04-26 | 2004-11-02 | International Business Machines Corporation | Process of forming copper structures |
KR20030089756A (ko) * | 2002-05-18 | 2003-11-28 | 주식회사 하이닉스반도체 | 삼원계 확산배리어막의 형성 방법 및 그를 이용한구리배선의 형성 방법 |
US20080070405A1 (en) * | 2002-05-30 | 2008-03-20 | Park Jae-Hwa | Methods of forming metal wiring layers for semiconductor devices |
KR100564605B1 (ko) * | 2004-01-14 | 2006-03-28 | 삼성전자주식회사 | 반도체 소자의 금속 배선 형성 방법 |
US20040009336A1 (en) * | 2002-07-11 | 2004-01-15 | Applied Materials, Inc. | Titanium silicon nitride (TISIN) barrier layer for copper diffusion |
US8298933B2 (en) * | 2003-04-11 | 2012-10-30 | Novellus Systems, Inc. | Conformal films on semiconductor substrates |
US7842605B1 (en) | 2003-04-11 | 2010-11-30 | Novellus Systems, Inc. | Atomic layer profiling of diffusion barrier and metal seed layers |
US7311946B2 (en) * | 2003-05-02 | 2007-12-25 | Air Products And Chemicals, Inc. | Methods for depositing metal films on diffusion barrier layers by CVD or ALD processes |
US7037837B2 (en) * | 2004-07-29 | 2006-05-02 | Texas Instruments Incorporated | Method of fabricating robust nucleation/seed layers for subsequent deposition/fill of metallization layers |
KR100639458B1 (ko) * | 2004-12-30 | 2006-10-26 | 동부일렉트로닉스 주식회사 | TaSIN막을 사용한 확산 방지막 형성 방법 및 이를이용한 금속 배선 형성 방법 |
KR100645207B1 (ko) * | 2005-02-23 | 2006-11-10 | 주식회사 하이닉스반도체 | 반도체 소자의 배선 형성 방법 |
KR100685902B1 (ko) * | 2005-08-29 | 2007-02-26 | 동부일렉트로닉스 주식회사 | 반도체 소자의 금속 배선 및 그 제조 방법 |
DE102005052001B4 (de) * | 2005-10-31 | 2015-04-30 | Advanced Micro Devices, Inc. | Halbleiterbauelement mit einem Kontaktpfropfen auf Kupferbasis und ein Verfahren zur Herstellung desselben |
KR100720511B1 (ko) * | 2005-12-16 | 2007-05-22 | 동부일렉트로닉스 주식회사 | 금속 배선 및 금속 배선의 형성 방법 |
US7666787B2 (en) * | 2006-02-21 | 2010-02-23 | International Business Machines Corporation | Grain growth promotion layer for semiconductor interconnect structures |
US7645696B1 (en) * | 2006-06-22 | 2010-01-12 | Novellus Systems, Inc. | Deposition of thin continuous PVD seed layers having improved adhesion to the barrier layer |
US7855147B1 (en) | 2006-06-22 | 2010-12-21 | Novellus Systems, Inc. | Methods and apparatus for engineering an interface between a diffusion barrier layer and a seed layer |
US20080014732A1 (en) * | 2006-07-07 | 2008-01-17 | Yanping Li | Application of PVD W/WN bilayer barrier to aluminum bondpad in wire bonding |
US7510634B1 (en) | 2006-11-10 | 2009-03-31 | Novellus Systems, Inc. | Apparatus and methods for deposition and/or etch selectivity |
US8791018B2 (en) * | 2006-12-19 | 2014-07-29 | Spansion Llc | Method of depositing copper using physical vapor deposition |
US7682966B1 (en) | 2007-02-01 | 2010-03-23 | Novellus Systems, Inc. | Multistep method of depositing metal seed layers |
US7737026B2 (en) * | 2007-03-29 | 2010-06-15 | International Business Machines Corporation | Structure and method for low resistance interconnections |
US8741158B2 (en) | 2010-10-08 | 2014-06-03 | Ut-Battelle, Llc | Superhydrophobic transparent glass (STG) thin film articles |
US7897516B1 (en) | 2007-05-24 | 2011-03-01 | Novellus Systems, Inc. | Use of ultra-high magnetic fields in resputter and plasma etching |
US7922880B1 (en) | 2007-05-24 | 2011-04-12 | Novellus Systems, Inc. | Method and apparatus for increasing local plasma density in magnetically confined plasma |
US7659197B1 (en) | 2007-09-21 | 2010-02-09 | Novellus Systems, Inc. | Selective resputtering of metal seed layers |
US7824743B2 (en) * | 2007-09-28 | 2010-11-02 | Applied Materials, Inc. | Deposition processes for titanium nitride barrier and aluminum |
US20090130466A1 (en) * | 2007-11-16 | 2009-05-21 | Air Products And Chemicals, Inc. | Deposition Of Metal Films On Diffusion Layers By Atomic Layer Deposition And Organometallic Precursor Complexes Therefor |
US20090218692A1 (en) * | 2008-02-29 | 2009-09-03 | Roland Hampp | Barrier for Copper Integration in the FEOL |
WO2009134810A2 (en) * | 2008-04-28 | 2009-11-05 | The President And Fellows Of Harvard College | Vanadium oxide thin films |
US8017523B1 (en) | 2008-05-16 | 2011-09-13 | Novellus Systems, Inc. | Deposition of doped copper seed layers having improved reliability |
JP2010021490A (ja) * | 2008-07-14 | 2010-01-28 | Kobe Steel Ltd | 半導体配線 |
US8143138B2 (en) * | 2008-09-29 | 2012-03-27 | Applied Materials, Inc. | Method for fabricating interconnect structures for semiconductor devices |
US8758580B2 (en) * | 2010-08-23 | 2014-06-24 | Vaeco Inc. | Deposition system with a rotating drum |
US11292919B2 (en) | 2010-10-08 | 2022-04-05 | Ut-Battelle, Llc | Anti-fingerprint coatings |
EP2487275B1 (en) * | 2011-02-11 | 2016-06-15 | SPTS Technologies Limited | Composite shielding |
GB201102447D0 (en) * | 2011-02-11 | 2011-03-30 | Spp Process Technology Systems Uk Ltd | Composite shielding |
JP2012251233A (ja) * | 2011-06-07 | 2012-12-20 | Sharp Corp | 成膜装置及び発光装置 |
US8518818B2 (en) * | 2011-09-16 | 2013-08-27 | Taiwan Semiconductor Manufacturing Co., Ltd. | Reverse damascene process |
EP2578390A1 (de) * | 2011-10-07 | 2013-04-10 | Bayer MaterialScience AG | Prozess zur Herstellung metallisierter Mehrschichtkörper aus speziellen Polycarbonaten |
CN102634793A (zh) * | 2012-04-20 | 2012-08-15 | 郑州大学 | 柔性衬底纳米金刚石薄膜及其制备方法与应用 |
TWI560297B (en) * | 2012-07-05 | 2016-12-01 | Intevac Inc | Method to produce highly transparent hydrogenated carbon protective coating for transparent substrates |
US9771656B2 (en) * | 2012-08-28 | 2017-09-26 | Ut-Battelle, Llc | Superhydrophobic films and methods for making superhydrophobic films |
CN102965666B (zh) * | 2012-11-27 | 2014-10-01 | 郑州大学 | 一种柔性衬底纳米金刚石薄膜及其制备方法 |
US9404175B2 (en) * | 2013-02-04 | 2016-08-02 | Blackberry Limited | Method of forming a target for deposition of doped dielectric films by sputtering |
CN105008583A (zh) * | 2013-02-14 | 2015-10-28 | 威科仪器有限公司 | 可变温度材料生长阶段及薄膜生长 |
DE102013011068A1 (de) * | 2013-07-03 | 2015-01-08 | Oerlikon Trading Ag, Trübbach | Targetalter-Kompensationsverfahren zur Durchführung von stabilen reaktiven Sputterverfahren |
US20150239773A1 (en) | 2014-02-21 | 2015-08-27 | Ut-Battelle, Llc | Transparent omniphobic thin film articles |
FR3017993B1 (fr) * | 2014-02-27 | 2017-08-11 | Commissariat Energie Atomique | Procede de realisation d'une structure par assemblage d'au moins deux elements par collage direct |
CN105097652B (zh) * | 2014-05-07 | 2018-12-21 | 中芯国际集成电路制造(上海)有限公司 | 一种半导体器件的制造方法 |
US9650713B2 (en) | 2015-03-12 | 2017-05-16 | Kennamtetal Inc. | PVD-coated cutting tools and method for making the same |
US9793213B2 (en) | 2016-02-16 | 2017-10-17 | International Business Machines Corporation | Ion flow barrier structure for interconnect metallization |
US11345991B2 (en) * | 2018-09-27 | 2022-05-31 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device, method and machine of manufacture |
US11158788B2 (en) | 2018-10-30 | 2021-10-26 | International Business Machines Corporation | Atomic layer deposition and physical vapor deposition bilayer for additive patterning |
US11274363B2 (en) | 2019-04-22 | 2022-03-15 | Nxp Usa, Inc. | Method of forming a sputtering target |
Family Cites Families (63)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US3607384A (en) | 1968-07-11 | 1971-09-21 | Western Electric Co | Thin-film resistors having positive resistivity profiles |
US4169032A (en) | 1978-05-24 | 1979-09-25 | International Business Machines Corporation | Method of making a thin film thermal print head |
EP0024863B1 (en) | 1979-08-31 | 1983-05-25 | Fujitsu Limited | A tantalum thin film capacitor and process for producing the same |
US4491509A (en) | 1984-03-09 | 1985-01-01 | At&T Technologies, Inc. | Methods of and apparatus for sputtering material onto a substrate |
US4760369A (en) | 1985-08-23 | 1988-07-26 | Texas Instruments Incorporated | Thin film resistor and method |
US4951601A (en) | 1986-12-19 | 1990-08-28 | Applied Materials, Inc. | Multi-chamber integrated process system |
US4782380A (en) | 1987-01-22 | 1988-11-01 | Advanced Micro Devices, Inc. | Multilayer interconnection for integrated circuit structure having two or more conductive metal layers |
US4962060A (en) | 1987-03-10 | 1990-10-09 | Advanced Micro Devices, Inc. | Making a high speed interconnect system with refractory non-dogbone contacts and an active electromigration suppression mechanism |
JP2602276B2 (ja) | 1987-06-30 | 1997-04-23 | 株式会社日立製作所 | スパツタリング方法とその装置 |
US5186718A (en) | 1989-05-19 | 1993-02-16 | Applied Materials, Inc. | Staged-vacuum wafer processing system and method |
US5178739A (en) | 1990-10-31 | 1993-01-12 | International Business Machines Corporation | Apparatus for depositing material into high aspect ratio holes |
JPH05179437A (ja) | 1991-01-18 | 1993-07-20 | Citizen Watch Co Ltd | タンタル膜の形成方法 |
JPH0529254A (ja) | 1991-07-24 | 1993-02-05 | Sony Corp | 配線形成方法 |
JP2785919B2 (ja) | 1991-07-26 | 1998-08-13 | ローム株式会社 | 絶縁層の上に成長層を有する半導体装置の製造方法 |
US5371042A (en) | 1992-06-16 | 1994-12-06 | Applied Materials, Inc. | Method of filling contacts in semiconductor devices |
US5486492A (en) | 1992-10-30 | 1996-01-23 | Kawasaki Steel Corporation | Method of forming multilayered wiring structure in semiconductor device |
US5354712A (en) | 1992-11-12 | 1994-10-11 | Northern Telecom Limited | Method for forming interconnect structures for integrated circuits |
US5654232A (en) | 1994-08-24 | 1997-08-05 | Intel Corporation | Wetting layer sidewalls to promote copper reflow into grooves |
US5989999A (en) | 1994-11-14 | 1999-11-23 | Applied Materials, Inc. | Construction of a tantalum nitride film on a semiconductor wafer |
US5613296A (en) | 1995-04-13 | 1997-03-25 | Texas Instruments Incorporated | Method for concurrent formation of contact and via holes |
US5534460A (en) | 1995-04-27 | 1996-07-09 | Vanguard International Semiconductor Corp. | Optimized contact plug process |
US5972178A (en) | 1995-06-07 | 1999-10-26 | Applied Materials, Inc. | Continuous process for forming improved titanium nitride barrier layers |
US5858184A (en) | 1995-06-07 | 1999-01-12 | Applied Materials, Inc. | Process for forming improved titanium-containing barrier layers |
KR0179795B1 (ko) | 1995-12-28 | 1999-04-15 | 문정환 | 이층 구조의 Cu 확산방지막 형성방법 |
US5674787A (en) | 1996-01-16 | 1997-10-07 | Sematech, Inc. | Selective electroless copper deposited interconnect plugs for ULSI applications |
US6054382A (en) * | 1996-03-28 | 2000-04-25 | Texas Instruments Incorporated | Method of improving texture of metal films in semiconductor integrated circuits |
US5846332A (en) | 1996-07-12 | 1998-12-08 | Applied Materials, Inc. | Thermally floating pedestal collar in a chemical vapor deposition chamber |
US5993916A (en) | 1996-07-12 | 1999-11-30 | Applied Materials, Inc. | Method for substrate processing with improved throughput and yield |
TW402778B (en) | 1996-07-12 | 2000-08-21 | Applied Materials Inc | Aluminum hole filling using ionized metal adhesion layer |
JPH1041389A (ja) | 1996-07-24 | 1998-02-13 | Sony Corp | 半導体装置の製造方法 |
KR100200739B1 (ko) | 1996-10-16 | 1999-06-15 | 윤종용 | 장벽금속막 형성방법 |
US5933753A (en) | 1996-12-16 | 1999-08-03 | International Business Machines Corporation | Open-bottomed via liner structure and method for fabricating same |
US5930669A (en) | 1997-04-03 | 1999-07-27 | International Business Machines Corporation | Continuous highly conductive metal wiring structures and method for fabricating the same |
US6164138A (en) | 1997-04-15 | 2000-12-26 | Dresser Industries, Inc. | Self aligning dial for instrument gauge |
TW417249B (en) | 1997-05-14 | 2001-01-01 | Applied Materials Inc | Reliability barrier integration for cu application |
US5985762A (en) | 1997-05-19 | 1999-11-16 | International Business Machines Corporation | Method of forming a self-aligned copper diffusion barrier in vias |
US6139699A (en) | 1997-05-27 | 2000-10-31 | Applied Materials, Inc. | Sputtering methods for depositing stress tunable tantalum and tantalum nitride films |
US6143646A (en) | 1997-06-03 | 2000-11-07 | Motorola Inc. | Dual in-laid integrated circuit structure with selectively positioned low-K dielectric isolation and method of formation |
US6028003A (en) | 1997-07-03 | 2000-02-22 | Motorola, Inc. | Method of forming an interconnect structure with a graded composition using a nitrided target |
US5904565A (en) | 1997-07-17 | 1999-05-18 | Sharp Microelectronics Technology, Inc. | Low resistance contact between integrated circuit metal levels and method for same |
US5910880A (en) | 1997-08-20 | 1999-06-08 | Micron Technology, Inc. | Semiconductor circuit components and capacitors |
JP3425853B2 (ja) | 1997-08-29 | 2003-07-14 | Necエレクトロニクス株式会社 | 不揮発性半導体記憶装置 |
US5972179A (en) | 1997-09-30 | 1999-10-26 | Lucent Technologies Inc. | Silicon IC contacts using composite TiN barrier layer |
US6229174B1 (en) | 1997-12-08 | 2001-05-08 | Micron Technology, Inc. | Contact structure for memory device |
US6002174A (en) | 1997-12-31 | 1999-12-14 | Micron Technology, Inc. | Barrier materials for semiconductor devices |
US6025226A (en) | 1998-01-15 | 2000-02-15 | International Business Machines Corporation | Method of forming a capacitor and a capacitor formed using the method |
US5985759A (en) | 1998-02-24 | 1999-11-16 | Applied Materials, Inc. | Oxygen enhancement of ion metal plasma (IMP) sputter deposited barrier layers |
US6221775B1 (en) | 1998-09-24 | 2001-04-24 | International Business Machines Corp. | Combined chemical mechanical polishing and reactive ion etching process |
US6309801B1 (en) | 1998-11-18 | 2001-10-30 | U.S. Philips Corporation | Method of manufacturing an electronic device comprising two layers of organic-containing material |
US6372301B1 (en) | 1998-12-22 | 2002-04-16 | Applied Materials, Inc. | Method of improving adhesion of diffusion layers on fluorinated silicon dioxide |
TW413896B (en) | 1999-01-06 | 2000-12-01 | United Microelectronics Corp | Manufacturing method for dual damascene structure |
WO2000041235A1 (en) | 1999-01-08 | 2000-07-13 | Applied Materials, Inc. | Method of depositing a copper seed layer which promotes improved feature surface coverage |
US6157081A (en) | 1999-03-10 | 2000-12-05 | Advanced Micro Devices, Inc. | High-reliability damascene interconnect formation for semiconductor fabrication |
US6211071B1 (en) | 1999-04-22 | 2001-04-03 | Advanced Micro Devices, Inc. | Optimized trench/via profile for damascene filling |
US6017817A (en) | 1999-05-10 | 2000-01-25 | United Microelectronics Corp. | Method of fabricating dual damascene |
US6146517A (en) * | 1999-05-19 | 2000-11-14 | Infineon Technologies North America Corp. | Integrated circuits with copper metallization for interconnections |
US6184138B1 (en) | 1999-09-07 | 2001-02-06 | Chartered Semiconductor Manufacturing Ltd. | Method to create a controllable and reproducible dual copper damascene structure |
US6164128A (en) | 1999-10-13 | 2000-12-26 | Santa Cruz; Cathy D. | Apparatus, method and formula relating to total-wind statistics |
US6200433B1 (en) | 1999-11-01 | 2001-03-13 | Applied Materials, Inc. | IMP technology with heavy gas sputtering |
US6265757B1 (en) | 1999-11-09 | 2001-07-24 | Agere Systems Guardian Corp. | Forming attached features on a semiconductor substrate |
US6274483B1 (en) | 2000-01-18 | 2001-08-14 | Taiwan Semiconductor Manufacturing Company | Method to improve metal line adhesion by trench corner shape modification |
US6184128B1 (en) | 2000-01-31 | 2001-02-06 | Advanced Micro Devices, Inc. | Method using a thin resist mask for dual damascene stop layer etch |
US6271084B1 (en) | 2001-01-16 | 2001-08-07 | Taiwan Semiconductor Manufacturing Company | Method of fabricating a metal-insulator-metal (MIM), capacitor structure using a damascene process |
-
2000
- 2000-08-09 US US09/635,738 patent/US6562715B1/en not_active Expired - Fee Related
-
2001
- 2001-08-08 WO PCT/US2001/024880 patent/WO2002012589A2/en active Application Filing
- 2001-08-08 TW TW090119424A patent/TW535254B/zh not_active IP Right Cessation
Also Published As
Publication number | Publication date |
---|---|
WO2002012589A2 (en) | 2002-02-14 |
US6562715B1 (en) | 2003-05-13 |
WO2002012589A3 (en) | 2002-07-11 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
TW535254B (en) | Barrier layer structure for copper metallization and method of forming the structure | |
TW546393B (en) | PVD-IMP tungsten and tungsten nitride as a liner, barrier and/or seed layer for tungsten, aluminum and copper applications | |
US6841044B1 (en) | Chemically-enhanced physical vapor deposition | |
US7352048B2 (en) | Integration of barrier layer and seed layer | |
TW552310B (en) | Sputtering chamber shield promoting reliable plasma ignition | |
TW521379B (en) | Use of a barrier sputter reactor to remove an underlying barrier layer | |
TW436965B (en) | Plasma treatment for ex-situ contact fill | |
US20030059538A1 (en) | Integration of barrier layer and seed layer | |
US20030057526A1 (en) | Integration of barrier layer and seed layer | |
US20020117399A1 (en) | Atomically thin highly resistive barrier layer in a copper via | |
US20010002326A1 (en) | Metal and metal silicide nitridization in a high density, low pressure plasma reactor | |
US11417568B2 (en) | Methods for selective deposition of tungsten atop a dielectric layer for bottom up gapfill | |
TWI354321B (en) | Method and system for depositing barrier layer ont | |
TW200935556A (en) | Multi-step cu seed layer formation for improving sidewall coverage | |
US20020132473A1 (en) | Integrated barrier layer structure for copper contact level metallization | |
US20190385908A1 (en) | Treatment And Doping Of Barrier Layers | |
US6528180B1 (en) | Liner materials | |
WO2003028090A2 (en) | Integration of barrier layer and seed layer | |
TW201542851A (zh) | Cu配線之製造方法 | |
WO2020018491A1 (en) | Method of forming nickel silicide materials | |
JPH09312297A (ja) | 薄膜のプラズマアニール | |
US20020093101A1 (en) | Method of metallization using a nickel-vanadium layer | |
KR101800487B1 (ko) | 동(Cu) 배선의 형성 방법 및 기억매체 | |
CN117015853A (zh) | 减材金属及减材金属半导体结构 | |
US20220364230A1 (en) | Pulsing plasma treatment for film densification |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
GD4A | Issue of patent certificate for granted invention patent | ||
MM4A | Annulment or lapse of patent due to non-payment of fees |