TW451334B - Method for lateral etching with holes for making semiconductor devices - Google Patents

Method for lateral etching with holes for making semiconductor devices Download PDF

Info

Publication number
TW451334B
TW451334B TW089112696A TW89112696A TW451334B TW 451334 B TW451334 B TW 451334B TW 089112696 A TW089112696 A TW 089112696A TW 89112696 A TW89112696 A TW 89112696A TW 451334 B TW451334 B TW 451334B
Authority
TW
Taiwan
Prior art keywords
layer
germanium
gate
silicon
hole
Prior art date
Application number
TW089112696A
Other languages
English (en)
Inventor
Thomas Skotnicki
Malgorzata Jurczak
Original Assignee
France Telecom C N E T
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by France Telecom C N E T filed Critical France Telecom C N E T
Application granted granted Critical
Publication of TW451334B publication Critical patent/TW451334B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/511Insulating materials associated therewith with a compositional variation, e.g. multilayer structures
    • H01L29/513Insulating materials associated therewith with a compositional variation, e.g. multilayer structures the variation being perpendicular to the channel plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/7624Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/515Insulating materials associated therewith with cavities, e.g. containing a gas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • H01L29/66772Monocristalline silicon transistors on insulating substrates, e.g. quartz substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78603Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the insulating substrate or support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78651Silicon transistors
    • H01L29/78654Monocrystalline silicon transistors

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Thin Film Transistor (AREA)

Description

451334 B7 五、發明說明(/ ) [詳細說明] 本發明關於經孔作側刻蝕以製造半導元件的方法。它 係有利地用在高性能CMOS半導體裝置以將信號迅速處理 及/或低電壓/低功率應用,特別是在MOS場效電晶體 (MOSFET)中之應用。 傳統塊狀(massive)構造的MOSFET之一限制因爲:基 質有妨害電晶體性能的效應。這種缺點,在絕緣體矽磊晶 (silicon on isolant,SOI)構造的MOSFET係將基質之矽的 薄膜用氧化矽的一埋入層隔開,而得以避免。 把完全貧化的薄膜式SOI構造的MOSFET中的基質效 應完全消除會造成排極(drain)電流增加。 然而,超薄SOI構造的MOSFET有高源極/排極(S/D) 電阻的的缺點,這是因爲受矽層厚度及不良之熱傳導性使 得接合部不太深且受限之故。此外,SOI構造的基質的製 造成本高,這使它進入市場的機會受限。 爲了將SOI式或塊狀構造之裝置的缺點消除,有人提 議以所謂「無載層式砂聶晶」(“SON” silicon on nothing)構 is爲基礎的半導體裝置’結合塊狀構造與s〇I構造的優點 。這種半導體裝置,例如場效MOS,可使矽膜及埋入之氧 化物層的厚度做得極薄,在幾奈米的數量級。 這種所謂之SON的結構的裝置(其中埋入介電層)係限 在該裝置的柵極地區下面的區域,且示於第—圖中。 請看第一圖,此半導體裝置包含一矽基質(1),其上表 面鋪設一柵極(閘極)介電薄層(4),且其中形成源極(5)與排 4 本紙張尺度避用*p 國豕標準<CNS)A4規格(210 x 297公爱) (锖先W讀背面之注意事項再填窝本頁) •C--------訂---------線! 經濟部智慧財產局負工消费合作社印製 451 3 34 A7 B7 經濟部智慧財產局貝工消费合作社印製 五、發明說明(二) 極⑹的區域,二者間造成一預定最小長度的通道地區(la) ,並有一柵極(7),在此裝置上表面在地區(la)的上方。此 裝置另外在通道區域(la)中在源極(5)與排極(6)間還包含一 個連續式或不連續式的絕緣腔(2),它與源極(6)和排極(6)的 區域界定出一矽薄層(3),厚度1-50奈米’且位於該絕緣腔 (2)上方,此絕緣腔長度至少爲通道地區(la)之預定最小長 度的70%。柵極(7)側翼被間隔物⑻與(9)圍護。接點 (10)(11)設在源極(5)極⑹的地區。 在下文,名稱「腔」和「隧道」將互相可交換地使用 〇 上述裝置中’通道地區的「預定最小長度」一詞,係 指在所予技術裝置中可用之最短的通道的長度。 絕緣腔可由所有之適當的固體或氣體介電材料構成, 但宜爲一種充以空氣的腔。 所要說明的半導體裝置的製造方法包含: 在一矽基質(1)的上表面上形成一層可選擇除去的 材料的層,該材料層宜能確保與矽基質(1)造成連續式的網 眼; 在該可選擇性除去的材料層上形成一矽薄層(3), 其厚度1-50奈米,且宜同樣地確保與該可選擇性去的材 以該矽基質造成連續式的網眼; \ --在該矽薄層(3)上形成柵極介電薄層(4); 在該榈極介電薄層(4)上形成一柵極(7); 一一沿著該柵極(7)的二對立邊將柵極的介電薄層(4)、 5 本纸張尺度適用中0國家標準(CNSU4規格(210 X 297公梦) (請先閲讀背面之注意事項再填寫本頁) -C-------I.訂i
.線一tl-li I----I A7 4 5 13 34 ____B7____ 五、發明說明(今) 矽薄層(3)、可選擇性除去的材料的層、以及基質(1)上部作 刻餓(gravure),以形成凹隙: 一一將該可選擇性除去之材的層作部分或完全之選擇 性側向刻蝕,以形成一連續的腔(2)或一些不連續的腔,該 腔充以空氣,腔的總長度至少爲通道地區的預定最小長度 的 70% ; ——如有必要,將該腔(2)或這些腔用一種固體介電材 料充塡;且 --將凹隙用砍充塡,並慘以η或p慘雜質(doping), 以形成源極(5)與排極(6)的地區。 源極⑸與排極⑹的地區的形成作業宜藉矽的外延 (epitaxial)生長,然將η或p雜質作離子植入而達成。植入 作業後,宜隨著作一道退火(recuit),以使在源極(5)及排極 (6)地區中植入的雜質變成電活性。回火作業在高溫短時進 行。 然而,在上述製造作業中,在形成柵極(7)之後及在形 成源極(5)與排極(6)地區之前造成腔(2)時,如果想要將腔 (2)充以空氣,就會有問題。事實上,將源極(5)與排極(6)地 區活化(退火),會使空腔(2)曝露在此高溫》充以空氣的空 腔曝露在這種回火高溫會使腔劣化。 本發明的目的在於將上述方法的缺點克服,在源極(5) 與排極(6)地區活化之後形成充以空氣的腔。 因此,本發明一標的爲一種製造SON(silicon on nothing)構造的半導體裝置的方法,其中,在源極與排極地 6 (請先Μ讀背面之注意事項再填寫本真) 經濟部智慧財產局具工消费合作社印製 I I I I ·11[11111 I --〆 V — — — — — — — — — — I - -----— — — — — — 本紙張尺度適用令國困家標準(CNS)A4規格(2〗0 X 297公爱) A7 B7 45 1 334( 五、發明說明(>) 區的活化階段過程時,充以空氣的腔_不曝露在高溫下》 因此本發明提出一種製造SON構造的半導體元件的方 法,包含以下階段: 一一在一矽基質一主表面上形成一層叠物,它包含至 少一種二個層的組體,其由一鍺或鍺矽合金的下層與一矽 的上層構成,且當該層叠物包含一個以上的二層的組體時 ,則包含一個緊鄰接到基質的第一組體與一個離基質最遠 的最後組體; 一一在該組體(或層叠物的最後組體)的矽上層上形成 柵極的一介電薄層及一柵極; --在該柵極介電薄層內及在堆曼物內沿柵極的二相 反側形成源極與排極的地區; 一一從一個孔將該堆鲞物作刻蝕至少一直刻蝕到該組 體(或該層叠物的第一組體)的鍺或鍺矽合金的內層爲止; 一一經由該堆叠物的鍺或鍺矽合金層的至少一部分的 孔作選擇性側向刻蝕,以在柵極下方形成隧道;且如有必 要: ——將該隧道內部鈍化或充以一種介電材料。 換言之,當鍺或鍺矽合金之可選擇性除去的層存在時 ’首先做出源極與排極。只有在形成源極與排極地區後, 才經由孔將之刻蝕,除去可選擇性除去的材料,以形成隧 道(亦即充以空氣的腔)。 依本發明一實施例,孔的刻蝕作業包含做出至少一個 孔,垂直地穿過柵極,柵極介電薄層,以及柵極下的層叠 7 本纸張尺度適用令國困家櫟舉(CNS>A4規格(210 X 297公釐) {請先《讀背面之注意事項再填寫本頁) 經濟部智慧財產局貝工消费合作社印袋 -10^-----------------Liv.--------------_----------- 4&1334 Α7 Β7 五、發明說明(夕) <請先閲讀背面之注意事瑣*填寫本育) 物,一直到第一組體的鍺或鍺矽合金的下層爲止。對行家 而言,在柵極尺寸容許範圍內,當然Ϊ可做多個穿過柵極 的孔。 依本發明一有利變更例,係刻蝕至少二個孔,各孔垂 直地分別穿過源極與排極地區,一直到第一組體的鍺或錯 矽合金層爲止β —如前述方式,對行家而言,只要在源極 與排極地區的尺寸容許範圍內,也可做多個孔,穿過各$ 區。當在柵極中孔的刻蝕更適合於如感測器(capteur)2_@ 裝置(其中柵極可爲大尺寸者),則這種變更方式可製造# 極尺寸要很小的裝置。 我們可用一般方式將「摻雜質」植入(它們一直擴散到 柵極一部分下方爲止)以形成源極與排極地區。 以傳統方式,在形成柵極後,我們可在柵極的二個_ 立側邊上形成間隔器。這種間隔器的製造對行家而言係® 知者。 經濟部智慧財產局員工消费合作社印製 源極與排極地區的形成作業,可以用傳統方式,在® 疊物中造成凹隙且沿柵極二相反邊一直進入到基質爲止’ 並使矽作延生長以塡楠該凹隙,然後植入「掺雜物」 (dopant)。然而,依本發明,最好還是在柵極(側冀被間隔 器圍護)形成後(且不做出凹隙),將摻雜物植入到層疊物ψ 一直到基質中爲止。以造成源極與排極的地區。 依本發明,摻雜物的植入作業的方式使得利用側據散 使摻了雜質的區域(源極與排極的地區)位在間隔物及柵_ 一部分的下層。 8 本紙張尺度適用令國0家標準(CNS)A4蚬格(210 X 297公釐) 經濟邨智慧財產局員工消费合作社印裂 451334 A7 ___B7______ 五、發明說明(6 ) 用於將鍺或鍺鉸合金的層作側刻蝕的孔可以利用任何 傳統刻蝕技術做成’例如用各向異性電漿(plasma anisotrope)刻餓。 鍺或SiGe合金層的選擇性側刻蝕作業可經由孔利用任 何傳統程序達成,例如用電紫作刻蝕’或用氧化溶液作選 擇性化學侵蝕’如眾所周知者° 該鍺或鍺矽合層經由一孔選擇性側刻蝕的作業宜受控 制,以形成隧道,該隧道一直延伸到間隔物下方,例如藉 調節刻蝕程序的參數,特別是選擇性側刻蝕的時間與溫度 〇 另外,在孔的刻蝕階段前,宜將該裝置之組體重新用 一層鈍化材料蓋。 本發明的其他優點與特點見於一實施例(但它不限本發 明範圍)及附圖之詳細說明。圖式中: 第一圖係一種習知SON構造之裝置的示意剖面圖; 第二圖與第三圖係用於實施本發明之裝置的製造方法 在孔刻蝕前的主要階段的示意剖面圖; 第四圖a、b、c係實施本發明之裝置的製造方法一實 施例的主要階段的示意剖面圖,具有至少一孔,穿過柵極 r 第五圖係第四圖a-c所示本發明方法製造的一裝置的 —簡化剖面圖,但在柵極中刻蝕了二個孔; 第六圖a-c係實施本發明之裝置的製造方法的另一實 施例的主要階段的示意剖面圖,孔穿過源極與排極地區。 9 本纸張尺度適用令國國家標準(CNS)A4規格(2】0 X 297公釐) ----------Jr I I i in---J 訂----— I!.線--Λν (請先閲讀背面之注$項再填寫本頁w 451334 A7 B7 五、發明說明( [圖號說明] (1) 矽基質 (la)通道地區 (2) 絕緣腔 (3) 矽薄層 (4) 柵極介電薄層 (5) 源極 (6) 排極 (7) 柵極(閘極) ⑻⑼間隔物 (10)(11)接點 (12) 矽基質 (12a)活性區域 (13) 絕緣盒 (請先閱讀背面之;ii項再填寫本頁> -s·- 經濟部智慧財產局貝工消費合作社印製 (14) 砂錯合金下層 (15) 矽上層 (16) 矽錯合金下層 (17) 矽上層 (18) 柵極介電薄層 (19) 柵極 (20) (21)間隔物 (22) 源極 (23) 排極 (24) 二氧化矽層 第一組體 〕第二組體 線. 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 451334 A7 B7____ 五、發明說明() (25) 垂直孔 (26) (27)隧道 (28) 二氧化矽層 (29) 通道 (30) 電流線 (31) 孔 (32) (33)孔 (34)(35)隧道 (36) 二氧化矽 (37) (38)接點 儘管本發明不限於此,茲將說明包含二個充以空氣的 隧道的SON構造的一種MOSFET電晶體的製造程序。 第二圖顯示一矽基質(12) ’其上部被一個絕緣盒(13)圍 住,該絕緣盒呈長方形截面的筒形。在該絕緣盒G3)所界 定的矽基質(12)的上表面上形成一第一組體’由一矽鍺合 金SiGe的下層(14)與一矽的上層(15)構成。然後在此第一 組體上形成一第二組體,同樣由一矽鍺合金的下層(16)與 —砂上層(17)構成。 該二組體的矽層(15)(17)與矽鍺合金層(14)(16)係用選 擇性外延方式形成,以確保矽基質(12)的晶格(maUe)的連續 性能轉移到矽(15)(17)與矽鍺合金(14)(16)的連續層上。如此 所形成的層叠物完全地重疊在矽基質(12)的上表面》 如第三圖所示,我們用傳統製造M0SFET電晶體的方 式讓一柵極(18)的介電薄層(它一般爲二氧化矽)生長在第二 本紙張尺度適用中國a家標準(CNS>A4规格(210 X 297公;* ) ------rll — ί— — — W --------^ ---ill —----^ (請先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 4 5 13 3 4 Α7 _ _________ Β7 經濟部智慧財產局具工消费合作杜印製 五、發明說明() 組體的矽上層(Π)上。在層(14)(15)(16)(17)構成的層疊物的 二個第一側邊(P)(P’)上,該二氧化矽薄層(18)不蓋住該絕緣 盒(13)。相反地,該二氧化矽薄層(18)沿層疊物的二個第二 側邊一直延伸過絕緣盒(13)的範圍。在第二圖中,此二個 第二側邊係沿著垂直該二第一側邊(p)(p’)的方向,換言之 ,即垂直於該切面。 然後在該柵極介電薄層(18)的一中央部上沿著該二第 —側邊(P)(P’),以及在該柵極介電薄層(18)的整段長度範圍 沿著該二第二側邊一直到該絕緣盒(13)的二個邊上形成一 個多晶矽的柵極(19)。此柵極(19)被該二第一側邊(p)(p’)上 的間隔物(20)(21)(例如由氮化矽ShNU構成者)圔護住。 源極(22)與排極(23)的地區係藉著把摻雜物沿著間隔物 (20)(21)植入二氧化矽層(18)、植入二組體的矽層(5)(17)與 矽鍺合金層(14)(16)[如有必要,並植入矽基質(12)之一上部 中]而得到β此源極與排極的二個地區互相朝向沿側向在間 隔物下方擴散,而決不互相連接,基極下方摻雜物擴散所 不及的地區(12a)爲一活性區域。此一活性區域(12a)由層 (14)(15)(16)(17)的層疊物構成,這些層都未摻雜n或p雜質 〇 摻雜物的植入作業包含二個階段: ---主植入的第一階段,其中將靶(目標)地區用摻 雜物離子蠹擊: ---第二階段,稱爲源極與排極地區的退火或活化 階段,在第一階段之後做,其中將該裝置退火,使該植入 12 (锖先閲蟥背面之注項再填寫本頁) ic--------訂ί *線! 本紙張尺度適用中國國家標準(CNS)A4規格(210 « 297公爱) 451334 A7 經濟部智慧財產局員工消费合作社印數 --------- 五、發明說明() 之地區的結晶重組,並使摻雜物(離子)變電活性。此退火 作業係短時在至多850°C級的高溫進行。 然後,用行家習知的方式將該裝置上部上疊蓋一鈍化 材料層(24),例如二氧化矽材料。 然後,依第四圖a,做一垂直孔(25),穿過二氧化矽層 (24)、穿過該形成柵極的多晶矽的矽層(19)、穿過二氧化矽 層(18)、以及穿過該活性區域亦即該二組體的矽層(15)(17) 與矽鍺合金層(14)(16)—直到矽基質(12)的一上部爲止。此 孔(25)係利用各向異性之電漿作刻蝕而造成。 然後穿過該孔(25)在矽鍺合金層(16)與(14)中分別作隧 道(26)及(27)的側向選擇性刻蝕,其方式使刻蝕作用一直側 向延伸到間隔物下方爲止。 如第四圖b所示,藉熱氧化作用作孔(25)與隧道 (26)(27)的內部鈍化作用。換言之,在孔(25)與隧道(26)(27) 內壁上形成一層二氧化矽薄膜。在此活性區域中之未摻雜 的矽層(15)的部分構成電晶體的通道(29)。此通道(29)係一 種層,該層中有孔(25)。最好,矽層(17)的厚度使得柵極 (18)的介電薄層的生長,以及孔(25)與隧道(26)(27)之內部鈍 化作業把隧道(26)之位面(26)的矽層(17)完全消耗掉。矽鍺 合金層(14)(16)與二氧化矽層(28)(內部鈍化材料)的厚度使 得孔(25)與隧道(26)(27)不會堵塞住。然而,如有必要’也 可用二氧化矽將這些孔或隧道堵住^ 第四圖c顯示依本發明的一電晶體,其中在柵極(19) 中做二個孔(25)(31)。孔(31)用與孔(25)相同的方式,藉著造 13 (锖先《讀背面之注意事項再填寫本頁) 本纸張尺度適用中國國家標準(CNS>A4規格<210 * 297公釐) 451334 A7 B7 經濟部智慧財產局員工消费合作社印製 五、發明說明(lf) 成隧道及作內部鈍化而形成。爲了簡明起見,外部鈍化層[ 二氧化矽(24)]、絕緣盒(13)與間隔物(20)(21)在圖中未示出 。第四圖a與b已顯示了沿第四圖c A-A方向的剖面圖。 在第四圖c也同樣可看到電流線(3〇),從源極(22)地區離開 ’朝向排極(23)地區,經過通道(29)。第四圖c同樣地顯示 二個第一側邊(P)(P,)與二個第二側邊(S)(S,)。 第五圖係一裝置的簡化剖視圖,該裝置用第四圖a_c 的程序得到,但其中少了鈍化層(24)(28)。此剖面圖係沿經 經孔(25)及(31)的一方向S-S’切開者(第四圖a)。 第六圖a、b、c顯示另一實施例,由第三圖之元件開 始’換言之,一旦外部鈍化作業[二氧化矽(24)]實施,則在 源極(22)地區中至少刻蝕出一孔,且在排極(23)地區中至少 刻蝕出一孔(33),穿過外鈍化層(24)、柵極之介電薄層(18) 、及該組體之矽層(15)(17)及矽鍺合金層、至少一直到矽鍺 合金層(14)爲止。柵極保持不變。然後作側向選擇性刻蝕 ,穿過孔(32)與(33),以除去矽鍺合金層(14)(16),以及形成 隧道(34)(35)。矽鍺合金層(16)與(14)的刻蝕作業可用各向同 性(isotrope)電發或用氧化劑溶液作濕刻触。一如在柵極(19) 中的孔(25)的情形,利用熱氧化,將隧道(34)(35)的壁作內 部鈍化(第六圖)產生一層二氧化矽(36)。隧道(34)與(35)同 樣地可完全地用二氧化矽(36)或其他介電材料充塡。 第六圖c顯示一裝置上視圖,其中作了四個孔。圖中 同樣地在源極(22)與排極(23)地區有進入之接點(37)(38)。爲 了簡明起見,外鈍化層[二氧化矽(24)]、絕緣盒(13)及間隔 14 (猜先《讀背面之注意Ϋ項再填窵本頁) ·;. * * - ---------------I I I —fK — III — — —— — — — —------In — 本紙張尺度適用中國國家標準(CNS>A4銑格(210 X 297公靂) ^ 451 334 A7 _B7_ 五、發明說明(A ) 物¢20)(21)未示出。 上述之程序可製造SON構造,其中隧道係在源極與排 極地區形成後刻蝕出來。因此該隧道不會受到由於源極與 排極區活化作業的高溫。 (請先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 -l_^(v 1 I I I I 1 I - — — — HI— I l· k — — — — — — — — 5 11 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐)

Claims (1)

  1. 451334 A8 Βδ C8 D8 六、申請專利範圍 1. 一種製造半導體裝置的方法,包含 ——在一矽基質(12)之主表上形成一層疊物 (14)+(15)+(16)+(17),該層叠包含: 至少一個二層的組體,由一鍺或鍺矽合金的下層 (14)(16)及一矽上層(15)(17)構成,且當該層叠物包含多於一 個的二層的組體時,則包含一直接鄰接到基質的第一組體 (14)+(15)以及一個距基質最遠的最後組體(16)+(17); ——在該惟一組體或該層叠物之最後的組體的矽上層 (17)上形成一柵極介電薄層(18)及一柵極(19); 一一沿柵極二對立邊(P)(P’)在該柵極介電薄層(18)及在 該層叠物(14)+(15)+(16)+(17)中形成源極(22)與排極(23)地區 t --在該層叠物中刻I虫出至少一孔(25),至少一直刻餽 到該惟一之組體或該層叠物之第一組體的鍺或鍺砂合金下 層爲止; --經由該孔作選擇性側刻蝕’把該層整物的鍺或鍺 矽合金層的至少一部分刻蝕掉,以在柵極(19)下方形成隧 道(26)(27),且如有必要,還可 將該隧道內部作鈍化或用一種介電質材料(28)充塡 〇 2. 如申請專利範圍第1項之方法,其中·· 該孔(25)的刻蝕作業包含做出至少一個垂直孔,穿過 該柵極(19)、該柵極介電薄層(18)以及柵極下方的層疊物 (14)+(15)+(16)+( 17)直到該第一組體(14)+(15)的鍺或鍺矽合 本紙張尺度適用中國國家標準(CNS)A4規格(2J〇x297公釐) 請 光 閲 讀 背 之 注 意 事 項 | k 頁 訂 線 經濟部智慧財產局負工消費合作.社印製 ^ 451 3 34 | D8 經濟部智慧財產局員工消费合作社印製 六、申請專利範圍 金的下層(14)爲止。 3.如申請專利範圍第1項之方法/其中: 刻蝕出至少二垂直孔(32)(33),分別穿過該源極(22)及 該排極(23)的地區,直到第一組體(14)+(15)的鍺或鍺矽合金 層爲止。 4·如申請專利範圔第1或第2項之方法,其中: 將摻雜植入,該摻雜物一直擴散到柵極(19) —部分下 方爲止,以形成該源極(22)與排極(23)的地區。 5. 如申請專利範圍第1或第2項之方法,其中: 在形成柵極後,在該柵極的二相反側邊上形成間隔物 (20)(21)。 6. 如申請專利範圍第5項之方法,其中: 該鍺或鍺矽合金層(14)(16)經一孔作選擇性側刻蝕的作 業受控制,以形成隧道(26)(27),該隧道一直延伸到間隔物 (20)(21)下方爲止。 7. 如申請專利範圔第1或第2項之方法,其中: 在該孔(25)(32)(33)的刻蝕階段前,用一層鈍化材料層 (24)將該裝置的組體蓋住》 — III — — — — — ! — — — 'El — —] — — ^ «— — — In — (請先間讀背面之注奇爹項再填窝本買) $^尺度適用47國國家標準(CNS>A4規格(210 X 297公釐)
TW089112696A 1999-06-28 2000-09-11 Method for lateral etching with holes for making semiconductor devices TW451334B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
FR9908248A FR2795554B1 (fr) 1999-06-28 1999-06-28 Procede de gravure laterale par trous pour fabriquer des dis positifs semi-conducteurs

Publications (1)

Publication Number Publication Date
TW451334B true TW451334B (en) 2001-08-21

Family

ID=9547389

Family Applications (1)

Application Number Title Priority Date Filing Date
TW089112696A TW451334B (en) 1999-06-28 2000-09-11 Method for lateral etching with holes for making semiconductor devices

Country Status (5)

Country Link
US (1) US6727186B1 (zh)
EP (1) EP1192653A1 (zh)
FR (1) FR2795554B1 (zh)
TW (1) TW451334B (zh)
WO (1) WO2001001477A1 (zh)

Families Citing this family (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR2812764B1 (fr) * 2000-08-02 2003-01-24 St Microelectronics Sa Procede de fabrication d'un substrat de type substrat-sur- isolant ou substrat-sur-vide et dispositif obtenu
FR2821483B1 (fr) 2001-02-28 2004-07-09 St Microelectronics Sa Procede de fabrication d'un transistor a grille isolee et a architecture du type substrat sur isolant, et transistor correspondant
EP1573802B1 (fr) * 2002-12-20 2008-11-26 S.O.I. Tec Silicon on Insulator Technologies Procede de realisation de cavites dans une plaque de silicium
FR2849269B1 (fr) * 2002-12-20 2005-07-29 Soitec Silicon On Insulator Procede de realisation de cavites dans une plaque de silicium
US7078298B2 (en) * 2003-05-20 2006-07-18 Sharp Laboratories Of America, Inc. Silicon-on-nothing fabrication process
GB2412009B (en) * 2004-03-11 2006-01-25 Toshiba Research Europ Limited A semiconductor device and method of its manufacture
US7262084B2 (en) * 2004-04-15 2007-08-28 International Business Machines Corporation Methods for manufacturing a finFET using a conventional wafer and apparatus manufactured therefrom
JP2005354024A (ja) * 2004-05-11 2005-12-22 Seiko Epson Corp 半導体基板の製造方法および半導体装置の製造方法
FR2875947B1 (fr) * 2004-09-30 2007-09-07 Tracit Technologies Nouvelle structure pour microelectronique et microsysteme et procede de realisation
FR2876220B1 (fr) * 2004-10-06 2007-09-28 Commissariat Energie Atomique Procede d'elaboration de structures empilees mixtes, a zones isolantes diverses et/ou zones de conduction electrique verticale localisees.
FR2879820B1 (fr) * 2004-12-16 2009-01-16 Commissariat Energie Atomique Modulateur a jonction capacitive, jonction capacitive et son procede de realisation
JP2006278674A (ja) * 2005-03-29 2006-10-12 Nec Electronics Corp 電界効果トランジスタとその製造方法、及び半導体装置
FR2884648B1 (fr) * 2005-04-13 2007-09-07 Commissariat Energie Atomique Structure et procede de realisation d'un dispositif microelectronique dote d'un ou plusieurs fils quantiques aptes a former un canal ou plusieurs canaux de transistors
FR2897982B1 (fr) 2006-02-27 2008-07-11 Tracit Technologies Sa Procede de fabrication des structures de type partiellement soi, comportant des zones reliant une couche superficielle et un substrat
WO2008087576A1 (en) * 2007-01-16 2008-07-24 Nxp B.V. Semiconductor substrate processing
FR2923646A1 (fr) * 2007-11-09 2009-05-15 Commissariat Energie Atomique Cellule memoire sram dotee de transistors a structure multi-canaux verticale
DE102008011480B4 (de) * 2008-02-27 2010-09-09 Siemens Aktiengesellschaft Trennsäulen-Einheit für einen Gaschromatograph und Verfahren zu ihrer Befüllung mit Trennpartikeln
US8106468B2 (en) 2008-06-20 2012-01-31 Taiwan Semiconductor Manufacturing Company, Ltd. Process for fabricating silicon-on-nothing MOSFETs
DE102008040597A1 (de) * 2008-07-22 2010-01-28 Robert Bosch Gmbh Mikromechanisches Bauelement mit Rückvolumen
US8159029B2 (en) * 2008-10-22 2012-04-17 Taiwan Semiconductor Manufacturing Company, Ltd. High voltage device having reduced on-state resistance
US9685456B2 (en) 2015-09-04 2017-06-20 Stmicroelectronics, Inc. Method for manufacturing a transistor having a sharp junction by forming raised source-drain regions before forming gate regions and corresponding transistor produced by said method
DE102016119799B4 (de) * 2016-10-18 2020-08-06 Infineon Technologies Ag Integrierte schaltung, die einen vergrabenen hohlraum enthält, und herstellungsverfahren
JP6817895B2 (ja) * 2017-05-24 2021-01-20 株式会社東芝 半導体装置

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5153813A (en) * 1991-10-31 1992-10-06 International Business Machines Corporation High area capacitor formation using dry etching
JPH06120490A (ja) * 1992-10-06 1994-04-28 Hitachi Ltd 半導体装置及びその製造方法
KR0138317B1 (ko) * 1994-08-31 1998-04-28 김광호 반도체장치 커패시터 제조방법
US5622882A (en) * 1994-12-30 1997-04-22 Lsi Logic Corporation Method of making a CMOS dynamic random-access memory (DRAM)

Also Published As

Publication number Publication date
FR2795554A1 (fr) 2000-12-29
EP1192653A1 (fr) 2002-04-03
WO2001001477A1 (fr) 2001-01-04
US6727186B1 (en) 2004-04-27
FR2795554B1 (fr) 2003-08-22

Similar Documents

Publication Publication Date Title
TW451334B (en) Method for lateral etching with holes for making semiconductor devices
TW526564B (en) Method of fabricating semiconductor side wall fin
TWI446453B (zh) 受應力之場效電晶體以及其製造方法
KR100845175B1 (ko) 반도체 디바이스 및 그 제조 방법
TWI222711B (en) Chip incorporating partially-depleted, fully-depleted and multiple-gate transistors and method of fabricating the multiple-gate transistor
JP5330358B2 (ja) 集積回路構造、及び集積回路の製造方法
US8455307B2 (en) FINFET integrated circuits and methods for their fabrication
US9385231B2 (en) Device structure with increased contact area and reduced gate capacitance
US20060038229A1 (en) Semiconductor device
TW546846B (en) Thin film transistor and method for manufacturing the same
TW201121051A (en) Integrated circuit structure
JP2002299636A (ja) 垂直型チャネルを有する超微細mosトランジスタ及びその製造方法
JP2005203770A (ja) 半導体素子のトランジスタ及びその製造方法
JP5567247B2 (ja) 半導体装置およびその製造方法
TW201131769A (en) Wrap-around contacts for finfet and tri-gate devices
TWI222221B (en) Semiconductor device and its fabrication method
JP2006210555A (ja) 半導体装置および半導体装置の製造方法
JP2012004473A (ja) 半導体装置及び半導体装置の製造方法
CN1219329C (zh) 具有分离栅的自对准双栅金属氧化物半导体场效应晶体管
JP2011066362A (ja) 半導体装置
WO2005074035A1 (ja) 電界効果型トランジスタおよびその製造方法
TW201239985A (en) Semiconductor device and method for manufacturing the same
CN115831752A (zh) 一种半导体器件及其制备方法
JP4619140B2 (ja) Mos型電界効果トランジスタ及びその製造方法
JP5158197B2 (ja) 半導体装置及びその製造方法

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent
MM4A Annulment or lapse of patent due to non-payment of fees