TW202121663A - 形成具有薄閘極多晶體的高電壓電晶體的方法 - Google Patents

形成具有薄閘極多晶體的高電壓電晶體的方法 Download PDF

Info

Publication number
TW202121663A
TW202121663A TW109137464A TW109137464A TW202121663A TW 202121663 A TW202121663 A TW 202121663A TW 109137464 A TW109137464 A TW 109137464A TW 109137464 A TW109137464 A TW 109137464A TW 202121663 A TW202121663 A TW 202121663A
Authority
TW
Taiwan
Prior art keywords
gate
layer
dielectric
substrate
polysilicon
Prior art date
Application number
TW109137464A
Other languages
English (en)
Inventor
春 陳
詹姆斯 朴
文孫 金
義國 康
松太 康
國棟 張
Original Assignee
美商賽普拉斯半導體公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商賽普拉斯半導體公司 filed Critical 美商賽普拉斯半導體公司
Publication of TW202121663A publication Critical patent/TW202121663A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26506Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors
    • H01L21/26513Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors of electrically active species
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28035Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities
    • H01L21/28044Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities the conductor comprising at least another non-silicon conductive layer
    • H01L21/28052Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities the conductor comprising at least another non-silicon conductive layer the conductor comprising a silicide layer formed by the silicidation reaction of silicon with a metal layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • H01L29/4011Multistep manufacturing processes for data storage electrodes
    • H01L29/40114Multistep manufacturing processes for data storage electrodes the electrodes comprising a conductor-insulator-conductor-insulator-semiconductor structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • H01L29/4011Multistep manufacturing processes for data storage electrodes
    • H01L29/40117Multistep manufacturing processes for data storage electrodes the electrodes comprising a charge-trapping insulator
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42324Gate electrodes for transistors with a floating gate
    • H01L29/42328Gate electrodes for transistors with a floating gate with at least one additional gate other than the floating gate and the control gate, e.g. program gate, erase gate or select gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/4234Gate electrodes for transistors with charge trapping gate insulator
    • H01L29/42344Gate electrodes for transistors with charge trapping gate insulator with at least one additional gate, e.g. program gate, erase gate or select gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/45Ohmic electrodes
    • H01L29/456Ohmic electrodes on silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4916Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a silicon layer, e.g. polysilicon doped with boron, phosphorus or nitrogen
    • H01L29/4925Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a silicon layer, e.g. polysilicon doped with boron, phosphorus or nitrogen with a multiple layer structure, e.g. several silicon layers with different crystal structure or grain arrangement
    • H01L29/4933Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a silicon layer, e.g. polysilicon doped with boron, phosphorus or nitrogen with a multiple layer structure, e.g. several silicon layers with different crystal structure or grain arrangement with a silicide layer contacting the silicon layer, e.g. Polycide gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/6659Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66833Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a charge trapping gate insulator, e.g. MNOS transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/792Field effect transistors with field effect produced by an insulated gate with charge trapping gate insulator, e.g. MNOS-memory transistors
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/30Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the memory core region
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/40Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the peripheral circuit region
    • H10B41/42Simultaneous manufacture of periphery and memory cells
    • H10B41/49Simultaneous manufacture of periphery and memory cells comprising different types of peripheral transistor
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/30EEPROM devices comprising charge-trapping gate insulators characterised by the memory core region
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/30EEPROM devices comprising charge-trapping gate insulators characterised by the memory core region
    • H10B43/35EEPROM devices comprising charge-trapping gate insulators characterised by the memory core region with cell select transistors, e.g. NAND
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/40EEPROM devices comprising charge-trapping gate insulators characterised by the peripheral circuit region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Chemical & Material Sciences (AREA)
  • Toxicology (AREA)
  • Health & Medical Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Non-Volatile Memory (AREA)
  • Semiconductor Memories (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Abstract

本發明揭示一種半導體裝置及其製造方法。該方法包括在一周邊區中在形成於一基板之一表面上方的一閘極介電質上方沈積一多晶矽閘極層、在該多晶矽閘極層上方形成一介電層及在該介電層上方沈積一高度增強(HE)膜。接著圖案化該HE膜、該介電層、該多晶矽閘極層以及該閘極介電質以在該周邊區中形成一高電壓場效電晶體(HVFET)閘極。執行一高能量植入以鄰近於該HVFET閘極在該基板中之一源極或汲極區中形成至少一個輕微摻雜區。接著移除該HE膜,且在該周邊區中在該基板上形成一低電壓(LV)邏輯FET。在一個具體實例中,該LV邏輯FET係一高k金屬閘極邏輯FET。

Description

形成具有薄閘極多晶體的高電壓電晶體的方法
本發明大體上係關於半導體裝置,且更特定言之,係關於包括嵌入或整體地形成於單個基板上之記憶體胞元、高電壓場效電晶體(HVFET)及進階邏輯FET的非揮發性記憶體(NVM)裝置及其製造方法。相關申請案之交叉參考
本申請案依據35 U.S.C. 119(e)主張2017年7月19日申請之第62/534,463號美國臨時專利申請案的優先權,該美國臨時專利申請案以全文引用之方式併入本文中。
快閃或非揮發性記憶體(Non-volatile memory,NVM)裝置典型地包括儲存元件或胞元之柵格或陣列,該些元件或胞元各自包括至少一個NVM電晶體及包括解碼器、驅動器、感測放大器及控制電路以自該陣列進行讀取及向其進行寫入之數個周邊電路。NVM電晶體大體上包括電荷捕獲或電荷儲存層,且出於程式化及抹除操作而需要介於四伏至十伏之範圍內的高電壓(high voltage,HV)。周邊電路包括典型地在低電壓下操作之邏輯場效電晶體(Field Effect Transistor,FET)以及能夠支援NVM電晶體所需要之高電壓的數個HVFET。
在與記憶體胞元相同之基板上單體地併入有邏輯FET及HVFET以提供提高的效率、安全性、功能性及可靠性正變得愈來愈常見。然而,在相同基板上併入邏輯FET及HVFET連同NVM電晶體是有挑戰性的,此係因為各裝置或電晶體典型地需要不同的製造參數。
因此,需要一種整合嵌入或整體地形成於單個基板上之記憶體胞元、HVFET及邏輯FET之半導體或NVM裝置及其製造方法。
提供了一種半導體裝置及其製造方法。大體而言,該方法以以下操作開始:形成一ONO堆疊以在一基板之一記憶體區中在該基板之一表面上方形成一記憶體閘極(MG),且形成一閘極介電質以在一周邊區中在該基板之該表面上方形成高電壓場效電晶體(HVFET)閘極。接下來,在該ONO堆疊及該閘極介電質上方沈積一多晶矽閘極層,在該多晶矽閘極層上方形成一介電層,且在該介電層上方沈積一高度增強(HE)膜。接著針對該MG及HVFET閘極圖案化該HE膜、該介電層、該閘極層、該閘極介電質以及該ONO堆疊。鄰近於該HVFET閘極植入一源極/汲極(S/D)區,且移除該HE多晶矽層以形成該MG及該HVFET閘極。該基板中之該S/D區的深度大體上大於該基板之該表面上方的該HVFET閘極之一高度。在一些具體實例中,該HE膜包括非晶矽或多晶矽,且足夠厚以防止來自汲極植入之摻雜劑到達於該HVFET閘極底下之一通道。
根據另一具體實例,該方法進一步包括在移除該HE膜之後,針對包括於該周邊區中之一低電壓(LV)邏輯FET形成一多晶矽閘極,且使用一高K金屬閘極(HKMG)製程用一金屬閘極替換該LV邏輯FET之該多晶矽閘極。
根據又一具體實例,一種製造半導體裝置之方法包含:在周邊區中被形成於基板之表面上方的閘極介電質上方沈積多晶矽閘極層;在該多晶矽閘極層上方形成介電層;在該介電層上方沈積高度增強(HE)膜;圖案化該高度增強膜、該介電層、該多晶矽閘極層以及該閘極介電質以在該周邊區中形成高電壓場效電晶體(HVFET)閘極;執行高能量植入以鄰近於該高電壓場效電晶體閘極在該基板中之源極或汲極(S/D)區中形成至少一個輕微摻雜區;移除該高度增強膜;及在該周邊區中形成低電壓(LV)邏輯場效電晶體,其中該低電壓邏輯場效電晶體係高k金屬閘極(HKMG)邏輯場效電晶體。
在所述又一具體實例之方法中,該基板中之該輕微摻雜區的深度大於該高電壓場效電晶體閘極之高度。在所述又一具體實例之方法中,沈積該高度增強膜包含沈積非晶矽膜或多晶矽膜。所述方法進一步包含藉由預非晶化植入來植入該高度增強膜。在所述又一具體實例之方法中,移除該高度增強膜包含化學機械平坦化(CMP)、電漿蝕刻或其組合。在所述又一具體實例之方法中,執行該高能量植入包含形成輕微摻雜汲極(LDD)。在所述又一具體實例之方法中,該高電壓場效電晶體閘極包含由經圖案化的該多晶矽閘極層形成之多晶矽閘極,且該方法進一步包含在該多晶矽閘極上形成矽化物。在所述又一具體實例之方法中,沈積該多晶矽閘極層包含在記憶體區中在形成於該基板之該表面上方的ONO堆疊上方沈積該多晶矽閘極層;且圖案化該高度增強膜、該介電層、該多晶矽閘極層以及該閘極介電質進一步包含圖案化該介電層、該多晶矽閘極層及該ONO堆疊以在該記憶體區中形成記憶體閘極(MG)。
根據再一具體實例,一種製造半導體裝置之方法包含:在記憶體區中被形成於基板之表面上方的ONO堆疊上方及在周邊區中被形成於該基板之該表面上方的閘極介電質上方沈積多晶矽閘極層;在該多晶矽閘極層上方形成介電層;在該介電層上方沈積高度增強(HE)膜;圖案化該高度增強膜、該介電層、該多晶矽閘極層、該閘極介電質以及該ONO堆疊以在該記憶體區中形成記憶體閘極(MG)且在該周邊區中形成高電壓場效電晶體(HVFET)閘極;執行高能量植入以鄰近於該高電壓場效電晶體閘極在該基板中之源極或汲極(S/D)區中形成至少一個輕微摻雜區;移除該高度增強膜;及在該周邊區中形成低電壓(LV)邏輯場效電晶體,其中該低電壓邏輯場效電晶體係高k金屬閘極(HKMG)邏輯場效電晶體。
在所述再一具體實例之方法中,沈積該高度增強膜包含將該高度增強膜沈積至足以防止來自該高能量植入之摻雜劑到達於該高電壓場效電晶體閘極底下之通道的厚度。在所述再一具體實例之方法中,沈積該高度增強膜包含沈積非晶矽膜或多晶矽膜。所述再一具體實例之方法進一步包含藉由預非晶化植入來植入該高度增強膜。在所述再一具體實例之方法中,移除該高度增強膜包含化學機械平坦化(CMP)、電漿蝕刻或其組合。在所述再一具體實例之方法中,執行該高能量植入包含形成輕微摻雜汲極(LDD)。在所述再一具體實例之方法中,該高電壓場效電晶體閘極包含由經圖案化的該多晶矽閘極層形成之多晶矽閘極,且該方法進一步包含在該多晶矽閘極上形成矽化物。在所述再一具體實例之方法中,圖案化該高度增強膜、該介電層、該多晶矽閘極層、該閘極介電質以及該ONO堆疊包含獨立於針對該高電壓場效電晶體閘極圖案化該高度增強膜、該介電層、該多晶矽閘極層以及該閘極介電質而針對該記憶體閘極圖案化該高度增強膜、該介電層、該多晶矽閘極層以及該ONO堆疊。
根據又再一具體實例,一種製造半導體裝置之方法包含:在形成於基板之表面上方的閘極介電質上方沈積多晶矽閘極層;在該多晶矽閘極層上方形成介電層;在該介電層上方沈積高度增強(HE)膜;圖案化該高度增強膜、該介電層、該多晶矽閘極層以及該閘極介電質以在該基板之該表面上方形成高電壓場效電晶體(HVFET)閘極;執行高能量植入以鄰近於該高電壓場效電晶體閘極在該基板中之一源極或汲極(S/D)區中形成至少一個輕微摻雜區;移除該高度增強膜;及在該基板之該表面上形成低電壓(LV)邏輯場效電晶體,其中該低電壓邏輯場效電晶體係高k金屬閘極(HKMG)邏輯場效電晶體,其中該基板中之該輕微摻雜區的深度大於該基板之該表面上方的該高電壓場效電晶體閘極之閘極高度,且其中沈積該高度增強膜包含將該高度增強膜沈積至足以防止來自該高能量植入之摻雜劑到達於該高電壓場效電晶體閘極底下之通道的厚度。
在所述又再一具體實例之方法中,沈積該高度增強膜包含沈積非晶矽膜或多晶矽膜。所述又再一具體實例之方法進一步包含藉由預非晶化植入來植入該高度增強膜。在所述又再一具體實例之方法中,移除該高度增強膜包含化學機械平坦化(CMP)、電漿蝕刻或其組合。在所述又再一具體實例之方法中,執行該高能量植入包含形成輕微摻雜汲極(LDD)。
在下文參考隨附圖式來詳細地描述本發明之具體實例的其他特徵及優點,以及本發明之各種具體實例的結構及操作。應注意,本發明不限於本文中所描述之特定具體實例。本文中僅出於說明性目的而呈現此類具體實例。基於本文中所含之教示,額外具體實例對於熟習相關技術者將顯而易見。
本說明書揭示併有本發明之特徵的一或多個具體實例。所揭示具體實例僅例示本發明。本發明之範圍不限於所揭示具體實例。本發明由此處附加之申請專利範圍界定。
所描述具體實例及本說明書對「一個具體實例」、「一具體實例」、「實例具體實例」等等之參考指示所描述具體實例可包括特定特徵、結構或特性,但各具體實例可能未必包括特定特徵、結構或特性。此外,此類片語未必指代相同具體實例。另外,當結合一具體實例描述特定特徵、結構或特性時,應理解,無論是否予以明確描述,結合其他具體實例來實現此特徵、結構或特性皆係在熟習此項技術者之認識範圍內。
在更詳細地描述各種具體實例之前,應給出關於可貫穿描述使用之某些屬的進一步解釋。
術語「蝕刻」在本文中用以大體上描述圖案化材料使得該材料之至少一部分在完成蝕刻之後繼續存在之製造製程。舉例而言,應理解,蝕刻矽之製程涉及在矽上方圖案化遮罩層(例如,光阻劑或硬遮罩)且接著移除不再受遮罩層保護之矽區域的步驟。因而,受遮罩保護之矽區域將在蝕刻製程完成之後繼續存在。然而,在另一實例中,蝕刻亦可指代可或可不使用遮罩且可或可不在蝕刻製程完成之後留下材料之一部分的製程。
以上描述用以區分術語「蝕刻」與「移除」。當移除材料時,在製程中移除大體上所有材料。然而,在一些具體實例中,「移除」被視為可併有蝕刻之廣泛術語。
術語「CMP」在本文中用以大體上描述用以在半導體製造期間平滑基板上或上方之表面的化學機械拋光或平坦化製程。製程大體上使用研磨及/或腐蝕性膠態漿料之組合結合藉由將基板附著至抵靠著旋轉之拋光墊按壓之動態拋光頭部提供之機械力。該製程自基板移除材料,由此提供平坦化表面。
在本文中之描述期間,提及上面製造記憶體胞元、邏輯及高電壓電晶體或裝置之基板的各區。雖然在本文中描述兩個不同區,但是應理解,在基板上可存在任何數目個區,且可指定具有某些類型之裝置或材料的區域。大體而言,該些區用以便利地描述包括類似裝置之基板的區域且不應限制所描述具體實例之範圍或精神。
術語「沈積」或「安置」在本文中用以描述將材料層施加至基板之動作。此類術語意欲描述任何可能之層形成技術,包括但不限於熱生長、濺鍍、蒸發、化學氣相沈積、磊晶成長、電鍍等等。根據各種具體實例,舉例而言,可根據任何適當之眾所周知的方法而執行沈積。舉例而言,沈積可包含生長、塗覆或將材料傳送至基板上之任何製程。一些眾所周知的技術包括物理氣相沈積(physical vapor deposition,PVD)、化學氣相沈積(chemical vapor deposition,CVD)、電化學沈積(electrochemical deposition,ECD)、分子束磊晶法(molecular beam epitaxy,MBE)、原子層沈積(atomic layer deposition,ALD)及電漿增強型CVD(plasma-enhanced CVD,PECVD)等等。
如貫穿本說明書使用之「基板」最常被認為係矽。然而,基板亦可係諸如鍺、砷化鎵、磷化銦等等之半導體材料之寬陣列中之任一者。在其他具體實例中,基板可係電非導電的,諸如玻璃或藍寶石晶圓。
如本文所使用,「遮罩」可包含允許選擇性地移除(例如,蝕刻)材料之未遮蔽部分的任何適當材料。根據一些具體實例,遮掩結構可包含光阻劑,諸如聚(甲基丙烯酸甲酯)(PMMA)、聚(甲基戊二醯亞胺)(PMGI)、酚醛樹脂、適合之環氧樹脂等等、或包括氮化矽之硬質遮罩。
在更詳細地描述此類具體實例之前,呈現可供實施本發之具體實例的實例記憶體胞元及環境係有指導性的。
圖1說明非揮發性記憶體(NVM)裝置100 之示意性方塊圖,其包括記憶體陣列102 及包括整體地形成於單個基板上之解碼器、驅動器、感測放大器及控制電路以自記憶體陣列102 進行讀取及向其進行寫入的數個周邊電路。參考圖1,NVM裝置100 進一步包括命令及控制電路104 ,諸如微控制器、微型處理器或狀態機,以向記憶體陣列102 中之記憶體胞元中的每一者(此圖中未示)連同其他周邊電路發佈命令或控制信號來自記憶體陣列進行讀取及向其進行寫入。大體而言,周邊電路進一步包括列解碼器106 、行解碼器108 、感測放大器110 及源極線驅動器112
當應儲存或將資料字寫入至NVM裝置100 時,列解碼器106 接收且對記憶體位址進行解碼,接著將該記憶體位址供應給命令及控制電路104 。命令及控制電路104 接著藉由向選定列中之記憶體胞元之字線(wordline,WL)施加信號來選擇記憶體陣列102 中之一列記憶體胞元,將行位址提供至行解碼器108 ,且將控制信號提供至源極線驅動器112 。行解碼器108 轉換行位址且向選定行中之各記憶體胞元的位元線(bit-lineBL)施加信號。源極線驅動器112 接著將典型地自約四至約十伏DC之高電壓耦接至記憶體胞元之源極線以程式化或抹除記憶體胞元中之NVM電晶體。
大體而言,當資料字應自NVM裝置100 呼叫或讀出時,列解碼器106 接收記憶體位址、對其進行解碼且將其供應至命令及控制電路104 ,該命令及控制電路接著藉由向選定列中之記憶體胞元之WL施加信號來選擇記憶體陣列102 中之一列記憶體胞元,將行位址提供至行解碼器108 ,且將控制信號提供至源極線驅動器112 ,且感測放大器110 比較用於選定列中之各記憶體胞元的資料電壓與參考電壓以判定自胞元讀取邏輯「0」還是「1」。
周邊電路中之每一者可包括大量低電壓邏輯場效電晶體(Field Effect Transistor,FET),而列解碼器106 及源極線驅動器112 進一步包括數個高電壓場效電晶體(high voltage Field Effect Transistor,HVFET)以支援程式化及抹除操作所需之電壓(例如,4至10 V)。
圖2說明包括形成於記憶體區214 中之基板210 上之記憶體陣列202 的NVM裝置200 之一部分的橫截面。NVM裝置200 進一步包括形成於同一基板210 之周邊區216 中或上的HVFET206 及邏輯FET208 。在所展示具體實例中,記憶體胞元係分離閘極記憶體胞元,其各自包括記憶體閘極(memory gate,MG)及選擇閘極(select gate,SG)。大體而言,如在所展示具體實例中,鄰近記憶體胞元204 、HVFET206 與邏輯FET208 藉由包圍各區域或區或裝置之淺溝槽隔離結構(STI212 )彼此隔離。在此實例中,基板210 包括其中定位記憶體胞元204 之記憶體區214 以及周邊區216 。周邊區216 由STI212 進一步分隔成其中形成邏輯FET208 之邏輯或低電壓區域(low voltage,LV)及其中形成HVFET206 之HV區域。在所展示具體實例中,記憶體陣列202 包括複數個記憶體胞元204 ,該些記憶體胞元各自包括記憶體閘極(MG)及選擇閘極(SG)。應理解,圖2之橫截面僅係例示性的,且周邊區216 可進一步包括額外積體電路組件,諸如此圖中未示之電阻器、電容器、電感器等等、以及邏輯FET208 及HVFET206 。亦應理解,記憶體區214 及周邊區216 可定位於基板210 之任何區域中,包括非鄰近區域,且可包括不同區214 /216 中之每一者的多個個例。
根據各種具體實例,基板210 及實際上如貫穿本說明書使用之基板可係矽。然而,基板210 亦可係諸如鍺、砷化鎵、磷化銦等等之半導體材料之寬陣列中之任一者。在其他具體實例中,基板210 可係電非導電的,諸如玻璃或藍寶石晶圓。
現將參考圖3至圖5更詳細地描述記憶體胞元204 、邏輯FET208 及HVFET206
圖3說明包括形成於基板308 上之共同或共用之通道306 上方之記憶體閘極(MG302 )及選擇閘極(SG304 )之分離閘極記憶體胞元300 的一實例。各記憶體胞元包括第一源極/汲極(S/D)區310 及第二源極/汲極(S/D)區312 。區310312 形成分離閘極記憶體胞元300 之源極或汲極,此取決於何電位經施加至各區。在分離閘極記憶體胞元300 中,為方便起見,獨立於相對偏置,鄰近於SG304 之區310 通常被稱作汲極,而鄰近於MG302 之區312 通常被稱作源極。大體而言,基板308 係p型矽基板或包括其中形成記憶體胞元300 之p型井,而區310312 中度至重度地摻雜有n型摻雜劑。然而,基板308 亦有可能在區310312 摻雜有p型摻雜劑時係n型的。
MG302 及SG304 皆包括摻雜多晶矽導體。SG304 之摻雜多晶矽導體安置於選擇閘極介電質314 上或上方,而MG閘極302 之摻雜多晶矽導體安置於具有介電層之一或多個電荷捕獲層的多層電荷儲存或捕獲堆疊316 上或上方。在一個實例中電荷捕獲堆疊316 包括包夾於兩個二氧化矽層316a316c 之間以產生統稱且常稱作「ONO堆疊」之三層結構的電荷捕獲氮化矽層316b 。其他電荷捕獲堆疊316 可包括包夾於兩個介電層316a316c 之間以產生浮動閘極MOS場效應電晶體(FGMOS)的多晶矽電荷儲存層316b 。豎直閘極間介電質318 亦安置於SG304 與MG302 之間來以電氣方式隔離閘極之摻雜多晶矽導體。在一些具體實例中,諸如所展示具體實例中,閘極間介電質318 可包括具有不同介電特性之介電材料之一或多個層或膜。舉例而言,閘極間介電質318 可包括類似於電荷捕獲介電質316 之ONO介電質的ONO介電質。
記憶體胞元300 進一步包括選擇閘極304 及S/D區310312 之表面上方的自對準矽化物或SALICIDE320 、及包圍SG及MG兩者之側壁間隔件322 。側壁間隔件322 可包括一或多層介電材料,諸如氧化矽或氮化矽。SALICIDE320 減小觸點(此圖中未示)與記憶體胞元之作用區,諸如S/D區310312 ,之間的阻力,及觸點與SG304 之多晶矽之間阻力,及視情況在一具體實例中,此圖中未示之觸點與MG302 之間的阻力。在一些具體實例中,諸如圖3中所展示之具體實例中,拉回或更遠離鄰近閘極間介電質318 之側壁拉動形成於SG304 之頂部上的SALICIDE320 ,以提供對SALICIDE與MG302 之間的短接或洩漏的進一步隔離。應理解,本說明書意欲提供分離閘極架構之一般概述,且在實際實踐中,提供更多詳細步驟及層以形成最終記憶體胞元300
圖4說明適合用於圖1及圖2之NVM裝置中之邏輯FET400 的一具體實例。在所展示具體實例中,邏輯FET400 係高K金屬閘極(High-K Metal-Gate,HKMG)邏輯FET,其具有由側壁間隔件404 包圍之金屬閘極402 及上伏於分離形成於基板412 中之源極及汲極(S/D)區410 之通道408 的高k閘極介電質406 。邏輯FET400 進一步包括S/D區410 之表面上或上方之自對準矽化物或SALICIDE414 ,以減小觸點(此圖中未示)與S/D區之間的阻力。金屬閘極402 可由任何適合之金屬或合金製成,包括但不限於鋁、銅、鈦、鎢及其合金。用於閘極介電質406 之適合的高k介電材料可包括但不限於氧化鉿、氧化鋯、矽酸鉿、氮氧化鉿、鉿氧化鋯及氧化鑭。替代地,高k閘極介電質406 可包括一或多層適合之高k介電材料。側壁間隔件404 可包括一或多層介電材料,諸如氧化矽或氮化矽。
HKMG邏輯FET400 之金屬閘極402 比前代邏輯FET之閘極具有更窄寬度或更窄通道長度,且因此可提高記憶體裝置之效能且減小上面製造記憶體裝置之晶粒或晶片的大小。
在過去,隨著閘極具有約40奈米(nm)之橫向尺寸且變得更大,有可能使用厚閘極多晶矽來在單個基板上整體地形成記憶體胞元、HVFET及邏輯電晶體。然而,隨著諸如閘極之電路元件的橫向尺寸已繼續縮小,已變得有必要限制電路元件之高度。詳言之,使用閘極替換製程製成之HKMG邏輯FET之金屬閘極402 的高度限於自約300至約1000埃(A)以確保產生於犧牲閘極之蝕刻或移除的高寬高比開口完全裝填有金屬。
在下文參考圖6A至圖6C及圖7A至圖7I更詳細地描述之閘極替換製程中,在高K閘極介電質406 上方形成犧牲或虛設閘極,圍繞犧牲閘極形成側壁間隔件404 ,且在側壁間隔件及犧牲閘極上方形成層級間介電質(ILD)。接著使用CMP來拋光且平坦化ILD以曝露犧牲閘極之表面的頂部,且使用選擇性蝕刻製程來自側壁間隔件404 內部移除犧牲閘極。接著在側壁間隔件404 內部沈積金屬閘極402 。因為金屬閘極之最窄寬度可係自約10至約40 nm,所以HKMG邏輯FET之金屬閘極402 的高度限於自約300至約1000 Å。此外,由於平坦化層間介電質(此圖中未示)、側壁間隔件404 且曝露犧牲閘極所必需之CMP製程,在HKMG邏輯FET400 之前或與其同時形成且與其共面之記憶體胞元及HVFET之閘極的高度亦限於類似高度。
圖5說明適合用於圖1及圖2之NVM裝置中之HVFET500 的一具體實例。在下文參考圖6A至圖6C及圖7A至圖7I詳細描述之根據本發明之具體實例而製造的HVFET500 能夠處置量值高達約20伏之電壓。HVFET500 包括由側壁間隔件504 包圍且安置於上伏於通道508 之HVFET閘極介電質506 上或上方之摻雜多晶矽閘極502 ,該通道分離形成於基板512 中之源極及汲極(S/D)區510 。側壁間隔件504 可包括一或多層介電材料,諸如氧化矽或氮化矽。HVFET500 進一步包括形成於摻雜多晶矽閘極502 之表面及S/D區510 上或上方之自對準矽化物或SALICIDE514 ,以減小觸點(此圖中未示)、摻雜多晶矽閘極與S/D區之間的阻力。
為了提供所需高擊穿電壓,HVFET500 具有較厚之HVFET閘極介電質506 、較長之通道508 及深S/D區510 。在HVFET閘極502 形成之後使用高能量低劑量植入來形成深S/D區510 以形成輕微摻雜汲極(LDD)。深意謂S/D區510 在基板512 之表面516 下方延伸至自約400至約2000 Å之深度。高能量、低劑量植入意謂在自約30至約100千電子伏特(keV)之能量及自約1e12 cm-3 至約1e14 cm-3 之劑量下植入適當的離子物種。
前代HVFET依賴於足夠厚之摻雜多晶矽閘極502 以防止所植入離子在高能量汲極區植入期間穿透閘極堆疊且到達通道508 。然而,如上文所提及,由於由用以形成HKMG邏輯FET400 之閘極替換製程強加的限制,HVFET閘極502 需要等於或小於約1000 Å。使用本發明之方法的一具體實例,HVFET500 可製造為具有深S/D區510 ,該S/D區部分地藉由LDD植入製造為具有由薄多晶矽閘極層形成之HVFET閘極502 且具有自約300至約1000 Å之閘極高度。
現將參考圖6A至圖6C及圖7A至圖7I詳細描述包括嵌入或整體地形成於單個基板上之記憶體胞元、HKMG邏輯FET及HVFET的NVM裝置之製造方法的一具體實例。圖6A至圖6C係說明方法或處理流程之一具體實例的流程圖。圖7A至圖7I係說明根據6A至圖6C之製造方法之NVM裝置700 之一部分在NVM裝置700 製造期間之橫截面圖的方塊圖。
參考圖6A及圖7A,製程以以下操作開始:在基板704 中形成淺溝槽隔離(shallow trench isolation,STI)結構702 來以電氣方式隔離記憶體陣列用以形成於記憶體區706 中之區域與周邊區708 ,及隔離應形成HKMG邏輯FET之低電壓(LV)區域與應形成HVFET之高電壓(HV)區域(步驟602 )。
接下來,在記憶體區706 中在基板704 之表面712 中形成用於記憶體胞元之相連第一通道710 ,在周邊區708 之LV區域中形成用於HKMG邏輯FET之第二通道714 ,且在HV區域中形成用於HVFET之第三通道716 (步驟604 )。可藉由使用離子植入技術來植入適當之離子物種來形成通道710714716 。舉例而言,p型通道植入可包括在自約5至約50 keV之能量下以約1e11 cm-3 至約5e13 cm-3 之濃度或劑量植入硼B+離子,而n型通道植入可包括在類似能量下且以類似劑量植入亞磷酸或砷離子。
參考圖6A及圖7B,製程以以下操作繼續:在記憶體區706 中形成上伏於第一通道710 之電荷捕獲堆疊718 ,且在基板704 之周邊區708 中的HV區域中形成上伏於第三通道716 之高電壓(HV)閘極介電質720 (步驟606 )。在一個具體實例中,藉由沈積或成型穿隧層718a 、電荷捕獲或儲存層718b 及阻斷層718c 來形成電荷捕獲堆疊718 。穿隧層718a 可包括介電材料,諸如二氧化矽(SiO2 ),且可熱生長或藉由CVD、PECVD或ALD沈積。適合之介電電荷捕獲層718b 可包括藉由CVD、PECVD或ALD沈積之一或多層氮化矽(SiN)、矽富氮化物或氮氧化矽(SiON)。替代地,電荷儲存層718b 可包括藉由CVD、PECVD或ALD沈積之導電浮動閘極電荷儲存層多晶矽。阻斷層718c 可包括熱所生長或沈積之二氧化矽(SiO2 )及/或藉由CVD、PECVD或ALD沈積之高k介電質的一或多個層。用於阻斷層718c 之適合的高k介電材料可包括但不限於氧化鉿、氧化鋯、矽酸鉿、氮氧化鉿、鉿氧化鋯及氧化鑭。
因為在形成HKMG邏輯FET之前剝離應形成HKMG邏輯FET之LV區域中之基板704 的表面712 ,所以亦可允許電荷捕獲堆疊718 在周邊區708 之LV區域上方延伸,如所展示。
HV閘極介電質720 形成為在周邊區708 之HV區域中上伏於第三通道716 。HV閘極介電質720 可包括一或多層適合之介電材料,諸如藉由CVD、PECVD或ALD沈積之二氧化矽(SiO2 )或氮化矽(SiN)。替代地,HV閘極介電質720 可包括高K介電材料,諸如氧化鉿、氧化鋯、矽酸鉿、氮氧化鉿、鉿氧化鋯或氧化鑭。
接下來,在電荷捕獲堆疊718 及HV閘極介電質720 上方沈積第一多晶矽閘極層722 (步驟608 )。第一多晶矽閘極層722 可係摻雜多晶矽層,且藉由CVD、PECVD或ALD沈積至自約300至約1000 Å之厚度。替代地,第一多晶矽閘極層722 可沈積為未摻雜多晶矽層,該未摻雜多晶矽層隨後摻雜有適當之離子物種且摻雜至適當之劑量以充當MG及HV閘極。
在第一多晶矽閘極層722 上方形成薄介電層724 (步驟610 )。介電層724 可包括藉由CVD、PECVD或ALD熱所生長或沈積至自約20至約5 00 Å之厚度的二氧化矽(SiO2 )。
接下來,在介電層724 上方沈積高度增強(HE)膜726 (步驟612 )。HE膜726 可包括藉由CVD、PECVD或ALD沈積至自約400至約1000 Å之厚度的非晶矽或多晶矽膜。大體而言,鑒於底下的介電層724 及第一多晶矽閘極層722 之厚度而選擇HE膜726 之厚度,且HVFET區植入之能量及劑量應足以防止來自區植入之摻雜劑到達第三通道716 。舉例而言,對於具有自約30至約100 keV之能量及自約1e12 cm-3 至約1e14 cm-3 之劑量的高能量低劑量區植入,第一多晶矽閘極層722 、介電層724 及HE膜726 之組合厚度係自約1000至約2500 Å。已發現,此範圍中之組合厚度足以基本上防止來自深度區植入之所植入離子穿透包括第一多晶矽閘極層722 、介電層724 及HE膜726 之HVFET閘極堆疊且到達第三通道716
參考圖6A及圖7C,圖案化HE膜726 、介電層724 、第一多晶矽閘極層722 、HV閘極介電質720 及電荷捕獲堆疊718 以在記憶體區706 中形成記憶體閘極(MG728 )且在周邊區708 中形成HVFET閘極730 (步驟614 )。可在LV區域上方在適當的位置留下HE膜726 、介電層724 、第一多晶矽閘極層722 及電荷捕獲堆疊718 。可使用標準光微影技術來實現圖案化以在HE膜726 之表面上方形成遮罩,且接著使用任何標準乾式或濕式蝕刻技術來非等向性地蝕刻HE膜及底層。舉例而言,可在諸如CHF3 或C2 H2 或HBr/O2 之多晶矽蝕刻化學物質之電漿中按適中功率(約500 W)使用低壓蝕刻來乾式蝕刻多晶矽層;可濕式或乾式蝕刻二氧化矽(SiO2 );且可使用含有諸如CF4 或CHF3 之氣體之氟的低壓電漿來乾式蝕刻氮化矽(SiN)。在已蝕刻或圖案化HE膜726 、介電層724 、第一多晶矽閘極層722 、HV閘極介電質720 及電荷捕獲堆疊718 以形成圖7C中所展示之MG728 及HVFET閘極730 之後,自遮罩(此圖中未示)剝離任何殘留之光阻劑且執行濕式清潔。替代地,可藉由使用額外遮罩層來在單獨蝕刻步驟中圖案化MG728 及HVFET閘極730
視情況,可執行預非晶化植入以非晶化MG728 及HVFET閘極730 上方殘留之HE膜726 (步驟616 )。可使用任何適合之半導體材料或摻雜劑來執行預非晶化植入。舉例而言,預非晶化植入可包括在自約5至約50 keV之能量下以約1e13 cm-3 至約5e15 cm-3 之劑量植入鍺(Ge)離子。在執行高能量低劑量植入以形成HVFET之深度輕微摻雜汲極(LDD)之前非晶化HE膜726 改良HE膜726 防止所植入離子穿透HVFET閘極堆疊且到達通道716 之能力。
參考圖6B及圖7D,執行高能量低劑量植入以鄰近於HVFET閘極730 在基板704 中形成一或多個LDD732 (步驟618 )。如上文所提及,植入可包括在自約30至約100千電子伏特(keV)之能量下以約1e12 cm-3 至約5e14 cm-3 之劑量植入適當之離子物種,此將提供在基板704 之表面下方延伸至自約400至約2000 Å之深度的LDD732
接著在MG728 之側壁上形成閘極間介電質734 (步驟620 )。如上文所提及,閘極間介電質734 可包括具有不同介電特性之介電材料的一或多個層或膜。舉例而言,閘極間介電質734 可包括類似於電荷捕獲堆疊718 之ONO介電質的ONO介電質,且可使用相同CVD、PECVD或ALD技術來沈積至自約100至約500 Å之厚度。
接下來,自記憶體區706 中之閘極間介電質734 的形成物移除基板704 之表面上殘留之任何材料,且在記憶體區中之基板之表面上方形成SG閘極介電質736 以鄰近於MG728 形成SG(步驟622 )。應理解,可使用使用上文所描述之任何標準氧化物及氮化物電漿蝕刻化學物質的各向異性乾式蝕刻來移除表面上殘留之材料。SG閘極介電質736 可包括藉由CVD、PECVD或ALD沈積至自約10至約60 Å之厚度的一或多層適合之介電材料,諸如氧化矽或氮化矽。替代地,SG閘極介電質730 可包括適合之高K介電材料,諸如氧化鉿、氧化鋯、矽酸鉿、氮氧化鉿、鉿氧化鋯及氧化鑭。
參考圖6B及圖7E,在MG728 、HVFET閘極730 及基板704 之表面712 上方沈積第二多晶矽閘極層738 (步驟624 )。如同第一多晶矽閘極層722 ,第二多晶矽閘極層738 可包括隨後經摻雜且藉由CVD、PECVD或ALD沈積至約400 Å之厚度的摻雜多晶矽層或未摻雜多晶矽層。
參考圖6B及圖7F,使用電漿蝕刻、CMP製程或其組合來平坦化或拋光基板704 之表面上或上方的層,以移除第二多晶矽閘極層738 及MG728 及HVFET閘極730 上方之HE膜726 的部分且平坦化第二多晶矽閘極層(步驟626 )。MG728 及HVFET閘極730 上殘留之介電層724 的部分可充當CMP或用於平坦化之電漿蝕刻的阻擋層。
參考圖6B及圖7G,移除在周邊區708 之LV區域中包括第一多晶矽閘極層722 及介電層724 閘極堆疊,從而曝露基板704 之表面712 。在經曝露表面上沈積高K閘極介電質742 ,在高K閘極介電質上沈積摻雜或未摻雜多晶矽或非晶矽層,且圖案化兩個層以在LV區域中形成邏輯閘極圖案744 (步驟627 )。此後,自記憶體胞元之一側,例如源極側及自HV區域移除第二多晶矽閘極層738 ,平坦化且圖案化第二多晶矽閘極層738 ,以在記憶體區706 中在記憶體胞元汲極之另一側,例如汲極側上形成選擇閘極(SG740 )(步驟628 )。舉例而言,可在諸如CHF3 或C2 H2 或HBr/O2 之標準多晶矽蝕刻化學物質之電漿中按適中功率(約500 W)使用低壓蝕刻來乾式蝕刻多晶矽層;可濕式或乾式蝕刻二氧化矽;且可使用含有諸如CF4 或CHF3 之氣體之氟的低壓電漿來乾式蝕刻氮化物層。
高k閘極介電質742 可包括藉由CVD、PECVD或ALD沈積至自約10至約80 Å之厚度的一或多層高k介電材料,諸如氧化鉿、氧化鋯、矽酸鉿、氮氧化鉿、鉿氧化鋯及氧化鑭。
邏輯閘極圖案744 包括數個虛設或犧牲閘極746 ,該些閘極由藉由CVD、PECVD或ALD沈積至自約300至約1000 Å之厚度且使用圖案化硬質遮罩748 及上文所描述之多晶矽或矽乾式蝕刻技術中之任一者圖案化的摻雜或未摻雜多晶矽或非晶矽形成。大體上藉由使用標準光微影及蝕刻技術來圖案化一層諸如二氧化矽(SiO2 )或氮化矽(SiN)之介電材料來形成圖案化硬質遮罩748
參考圖6C及圖7H,植入適當物種之摻雜劑離子以鄰近於MG728 及SG740 在記憶體區706 中形成源極/汲極(S/D)區750 ,且鄰近於邏輯閘極圖案744 在周邊區70 中之LV區域中形成S/D區752 (步驟630 )。大體而言,因為此等S/D區750 /752 不深,所以典型地在自約1至約50千電子伏特(keV)之較低能量下且以自約1e13 cm-3 至約2e15 cm-3 之中度重劑量執行植入。由於此等植入之較低能量,HVFET閘極730 之薄多晶矽足夠厚以防止任何所植入離子到達通道716 。然而,為了避免不合期望地增大LDD732 中之摻雜劑的濃度,較佳地在執行植入之前遮蔽HV區域。
接下來,鄰近於MG728 、SG740 、HVFET閘極730 及邏輯閘極圖案744 之側壁形成間隔件754 (步驟632 )。大體上藉由依序沈積諸如二氧化矽(SiO2 )或氮化矽(SiN)之共形介電層隨後進行毯或間隔件蝕刻以移除沈積於基板704 之水平表面上之大多數介電材料來形成間隔件754 ,從而產生所展示間隔件形狀。
接著鄰近於MG728 、SG740 及邏輯閘極圖案744 在LDD732 及S/D區750 /752 上形成自對準矽化物或SALICIDE756 (步驟634 )。亦可在HVFET閘極及MG及SG多晶矽閘極中之至少一者上形成SALICIDE。SALICIDE756 可包括任何適合之過渡金屬,諸如鈦、鈷、鎳、鉑及/或鎢,且可藉由此項技術中通常使用之任何矽化物製程形成,包括例如預清潔蝕刻、金屬沈積、退火及濕式剝離。
參考圖6C及圖7I,在基板704 上方形成或沈積層間介電質(ILD758 ),且使用CMP製程來平坦化該層間介電質以形成平坦化表面,犧牲閘極之頂表面通過該平坦化表面曝露(步驟636 )。大體而言,ILD758 可包括諸如二氧化矽(SiO2 )之任何適合之介電材料,且可使用適合之標準沈積技術(包括CVD)來沈積或成型。
接下來,在記憶體區706 中在記憶體陣列上方且在周邊區708 中在HV區域上方形成薄圖案化硬質遮罩760 (步驟638 )。大體上藉由使用標準光微影及蝕刻技術來圖案化一層諸如氮化矽之介電材料來形成圖案化硬質遮罩760
接著自LV中之間隔件754 內部移除犧牲閘極746 ,且用金屬填充所得開口以形成HKMG邏輯FET之金屬閘極756 (步驟640 )。可使用諸如CHF3 或C2 H2 或HBr/O2 之標準多晶矽蝕刻化學物質來移除犧牲閘極746 。大體而言,金屬可包括任何適合之金屬,諸如鋁、銅、鈦、鎢及其合金,且可使用適合之諸如濺鍍之標準沈積(包括CVD及物理氣相沈積(PVD))來加以沈積或成型以沈積金屬層,在CMP製程中再次平坦化該金屬層以移除金屬層之不在間隔件754 開口中的部分。應注意,因為MG722 、SG734 及HVFET閘極724 由圖案化硬質遮罩760 覆蓋,所以閘極或SALICIDE750 都不會在蝕刻或金屬沈積製程中損壞。
最後,可或可不移除圖案化硬質遮罩760 ,且可穿過ILD758 形成至SALICIDE750 之一或多個豎直觸點或通孔。
應瞭解,實施方式章節而非發明內容及中文發明摘要章節意欲用以解譯申請專利範圍。發明內容及摘要章節可闡述如由本發明者涵蓋之本發明之一或多個但並非所有例示性具體實例,且因此並不意欲以任何方式限制本發明及所附申請專利範圍。
因此,已揭示了包括嵌入或整體地形成於單個基板上之記憶體胞元、HKMG邏輯FET及HVFET的NVM裝置及其形成方法。上文已藉助於說明指定功能及其關係之實施方案的功能建置區塊來描述本發明之具體實例。為了便於描述,本文已任意地定義此等功能建置區塊之邊界。只要適當地執行指定功能及其關係,便可界定替代邊界。
對特定具體實例之前述描述將充分地展現本發明之一般性質,使得在不脫離本發明之一般概念的情況下,其他人可藉由應用此項技術之技能範圍內之知識針對各種應用而容易地修改及/或調適此等特定具體實例,而無需進行不當實驗。因此,基於本文中所呈現之教示及導引,此等調適及修改意欲在所揭示具體實例之等效者的涵義及範圍內。應理解,本文之措辭或術語係出於描述而非限制之目的,使得本說明書之術語或措辭待由熟習此項技術者按照該些教示及指導進行解譯。
本發明之廣度及範圍不應由上文所描述之例示性具體實例中之任一者限制,而應僅根據以下申請專利範圍及其等效者進行界定。
100:非揮發性記憶體(NVM)裝置 102:記憶體陣列 104:命令及控制電路 106:列解碼器 108:行解碼器 110:感測放大器 112:源極線驅動器 200:非揮發性記憶體(NVM)裝置 202:記憶體陣列 204:記憶體胞元 206:高電壓場效電晶體(HVFET) 208:邏輯場效電晶體(FET) 210:基板 212:淺溝槽隔離結構(STI) 214:記憶體區 216:周邊區 300:分離閘極記憶體胞元 302:記憶體閘極(MG) 304:選擇閘極(SG) 306:通道 308:基板 310:第一源極/汲極(S/D)區 312:第二源極/汲極(S/D)區 314:選擇閘極介電質 316:多層電荷儲存/捕獲堆疊 316a:介電層 316b:多晶矽電荷儲存層 316c:介電層 318:豎直閘極間介電質 320:自對準矽化物 322:側壁間隔件 400:邏輯場效電晶體(FET) 402:金屬閘極 404:側壁間隔件 406:高k閘極介電質 408:通道 410:源極及汲極(S/D)區 412:基板 414:自對準矽化物 500:高電壓場效電晶體(HVFET) 502:摻雜多晶矽閘極 504:側壁間隔件 506:高電壓場效電晶體(HVFET)閘極介電質 508:通道 510:源極及汲極(S/D)區 512:基板 514:自對準矽化物 516:表面 602:步驟 604:步驟 606:步驟 608:步驟 610:步驟 612:步驟 614:步驟 616:步驟 618:步驟 620:步驟 622:步驟 624:步驟 626:步驟 627:步驟 628:步驟 630:步驟 632:步驟 634:步驟 636:步驟 638:步驟 640:步驟 700:非揮發性記憶體(NVM)裝置 702:淺溝槽隔離(STI)結構 704:基板 706:記憶體區 708:周邊區 710:第一通道 712:表面 714:第二通道 716:第三通道 718:電荷捕獲堆疊 718a:穿隧層 718b:介電電荷捕獲層/電荷儲存層 718c:阻斷層 720:高電壓(HV)閘極介電質 722:第一多晶矽閘極層 724:介電層 726:高度增強(HE)膜 728:記憶體閘極(MG) 730:高電壓場效電晶體(HVFET)閘極 732:輕微摻雜汲極(LDD) 734:閘極間介電質 736:選擇閘極(SG)閘極介電質 738:第二多晶矽閘極層 740:選擇閘極(SG) 742:高k閘極介電質 744:邏輯閘極圖案 746:虛設/犧牲閘極 748:圖案化硬質遮罩 750:源極/汲極(S/D)區 752:源極/汲極(S/D)區 754:間隔件 756:自對準矽化物 758:層間介電質(ILD) 760:圖案化硬質遮罩
現在將參看隨附示意性圖式而僅作為實例來描述本發明之具體實例,在該些圖式中,對應元件符號指示對應部件:另外,併入於本文中且形成本說明書之部分的隨附圖式說明本發明的具體實例,且連同此描述進一步用以解釋具體實例本發明之原理且使得熟習相關技術者能夠製造及使用本發明。 [圖1]係非揮發性記憶體(NVM)裝置之示意性方塊圖,其包括記憶體胞元之陣列及包括整體地形成於單個基板上之解碼器、驅動器、感測放大器及控制電路的數個周邊電路; [圖2]係根據本發明之具體實例之NVM裝置之一部分之橫截面的方塊圖,該裝置包括記憶體區中之分離閘極記憶體胞元及周邊區中之HVFET及邏輯FET; [圖3]係根據本發明之具體實例的圖2之分離閘極記憶體胞元之具體實例的橫截面之詳細方塊圖; [圖4]係根據本發明之具體實例之圖2之邏輯FET之具體實例的橫截面之詳細方塊圖; [圖5]係根據本發明之具體實例之圖2之HVFET之具體實例的橫截面之詳細方塊圖; [圖6A至圖6C]係描繪根據本發明之具體實例之NVM裝置之製造方法的流程圖; [圖7A至圖7I]說明根據圖6A至圖6C之製造方法之NVM裝置的一部分在其製造期間之各時間點的橫截面。 本發明之具體實例的特徵及優點將自下文結合圖式所闡述之實施方式而變得更顯而易見。在該些圖式中,類似參考數字通常指示相同、功能上相似及/或結構上相似之元件。
100:非揮發性記憶體(NVM)裝置
102:記憶體陣列
104:命令及控制電路
106:列解碼器
108:行解碼器
110:感測放大器
112:源極線驅動器

Claims (1)

  1. 一種製造半導體裝置之方法,其包含: 沈積多晶矽閘極層; 形成介電層; 沈積高度增強(HE)膜; 形成高電壓場效電晶體(HVFET)閘極; 成至少一個輕微摻雜區; 移除該高度增強膜;及 形成低電壓(LV)邏輯場效電晶體。
TW109137464A 2017-07-19 2018-07-16 形成具有薄閘極多晶體的高電壓電晶體的方法 TW202121663A (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201762534463P 2017-07-19 2017-07-19
US62/534,463 2017-07-19
US15/848,327 US10242996B2 (en) 2017-07-19 2017-12-20 Method of forming high-voltage transistor with thin gate poly
US15/848,327 2017-12-20

Publications (1)

Publication Number Publication Date
TW202121663A true TW202121663A (zh) 2021-06-01

Family

ID=65016688

Family Applications (2)

Application Number Title Priority Date Filing Date
TW109137464A TW202121663A (zh) 2017-07-19 2018-07-16 形成具有薄閘極多晶體的高電壓電晶體的方法
TW107124515A TWI712160B (zh) 2017-07-19 2018-07-16 形成具有薄閘極多晶體的高電壓電晶體的方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
TW107124515A TWI712160B (zh) 2017-07-19 2018-07-16 形成具有薄閘極多晶體的高電壓電晶體的方法

Country Status (6)

Country Link
US (4) US10242996B2 (zh)
JP (1) JP7160849B2 (zh)
CN (2) CN117750773A (zh)
DE (1) DE112018003712T5 (zh)
TW (2) TW202121663A (zh)
WO (1) WO2019018194A1 (zh)

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI742299B (zh) 2017-09-15 2021-10-11 美商綠芯智慧財產有限責任公司 電可抹除可程式化非揮發性記憶體單元及操作記憶體單元之方法
US11101277B2 (en) * 2019-03-20 2021-08-24 Greenliant Ip, Llc. Process for manufacturing NOR memory cell with vertical floating gate
CN111968983B (zh) * 2019-05-20 2023-10-17 联华电子股份有限公司 存储器元件的结构及其制造方法
KR20220022163A (ko) 2020-08-18 2022-02-25 삼성전자주식회사 반도체 장치 및 이의 제조 방법
CN112582408A (zh) * 2020-12-09 2021-03-30 长江先进存储产业创新中心有限责任公司 一种半导体器件及其制作方法
US20220278209A1 (en) * 2021-03-01 2022-09-01 Sandisk Technologies Llc High voltage field effect transistors with metal-insulator-semiconductor contacts and method of making the same
CN114335004B (zh) * 2022-03-11 2022-05-17 江苏游隼微电子有限公司 一种1.5t sonos器件及其制备方法

Family Cites Families (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6388288B1 (en) * 1998-03-30 2002-05-14 Texas Instruments Incorporated Integrating dual supply voltages using a single extra mask level
US6329256B1 (en) * 1999-09-24 2001-12-11 Advanced Micro Devices, Inc. Self-aligned damascene gate formation with low gate resistance
JP2005235891A (ja) * 2004-02-18 2005-09-02 Toshiba Corp 不揮発性半導体記憶装置およびその製造方法
US7320921B2 (en) * 2005-03-22 2008-01-22 Taiwan Semiconductor Manufacturing Company, Ltd. Smart grading implant with diffusion retarding implant for making integrated circuit chips
KR100731062B1 (ko) * 2005-12-28 2007-06-22 동부일렉트로닉스 주식회사 고전압 소자의 제조방법
JP5142494B2 (ja) * 2006-08-03 2013-02-13 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
KR100766500B1 (ko) * 2006-10-20 2007-10-15 삼성전자주식회사 반도체 소자 및 그 형성 방법
KR100871545B1 (ko) * 2007-06-25 2008-12-01 주식회사 동부하이텍 플래쉬 메모리 소자 및 그 제조 방법
WO2009016739A1 (ja) * 2007-07-31 2009-02-05 Fujitsu Microelectronics Limited 半導体装置及びその製造方法
JP2010067748A (ja) 2008-09-10 2010-03-25 Rohm Co Ltd 半導体装置および半導体装置の製造方法
JP5550286B2 (ja) * 2009-08-26 2014-07-16 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
CN103261109B (zh) 2010-12-07 2016-10-12 旭硝子株式会社 无碱玻璃和无碱玻璃的制造方法
US8642457B2 (en) 2011-03-03 2014-02-04 United Microelectronics Corp. Method of fabricating semiconductor device
US8629046B2 (en) * 2011-07-06 2014-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device with a dislocation structure and method of forming the same
JP5847537B2 (ja) * 2011-10-28 2016-01-27 ルネサスエレクトロニクス株式会社 半導体装置の製造方法および半導体装置
WO2013148196A1 (en) * 2012-03-29 2013-10-03 Cypress Semiconductor Corporation Method of ono integration into logic cmos flow
JP2013243289A (ja) 2012-05-22 2013-12-05 Renesas Electronics Corp 半導体装置の製造方法
US9368606B2 (en) * 2012-12-14 2016-06-14 Cypress Semiconductor Corporation Memory first process flow and device
US9054220B2 (en) 2013-02-08 2015-06-09 Freescale Semiconductor, Inc. Embedded NVM in a HKMG process
JP6026914B2 (ja) * 2013-02-12 2016-11-16 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US9129996B2 (en) 2013-07-31 2015-09-08 Freescale Semiconductor, Inc. Non-volatile memory (NVM) cell and high-K and metal gate transistor integration
US9275864B2 (en) 2013-08-22 2016-03-01 Freescale Semiconductor,Inc. Method to form a polysilicon nanocrystal thin film storage bitcell within a high k metal gate platform technology using a gate last process to form transistor gates
US9368605B2 (en) 2013-08-28 2016-06-14 Globalfoundries Inc. Semiconductor structure including a split gate nonvolatile memory cell and a high voltage transistor, and method for the formation thereof
US8883624B1 (en) * 2013-09-27 2014-11-11 Cypress Semiconductor Corporation Integration of a memory transistor into high-K, metal gate CMOS process flow
US9659953B2 (en) 2014-07-07 2017-05-23 Taiwan Semiconductor Manufacturing Co., Ltd. HKMG high voltage CMOS for embedded non-volatile memory
US9543153B2 (en) * 2014-07-16 2017-01-10 Taiwan Semiconductor Manufacturing Co., Ltd. Recess technique to embed flash memory in SOI technology
US20160020295A1 (en) * 2014-07-17 2016-01-21 Macronix International Co., Ltd. Semiconductor Devices and Fabrication Methods With Improved Word Line Resistance And Reduced Salicide Bridge Formation
US9660106B2 (en) * 2014-08-18 2017-05-23 United Microelectronics Corp. Flash memory and method of manufacturing the same
JP6359432B2 (ja) * 2014-11-27 2018-07-18 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US9425206B2 (en) 2014-12-23 2016-08-23 Taiwan Semiconductor Manufacturing Co., Ltd. Boundary scheme for embedded poly-SiON CMOS or NVM in HKMG CMOS technology
TWI593052B (zh) * 2015-01-07 2017-07-21 力旺電子股份有限公司 半導體元件及其製造方法
US20170278856A1 (en) 2015-03-17 2017-09-28 Renesas Electronics Corporation Semiconductor device and method for manufacturing the same
JP2017037986A (ja) 2015-08-11 2017-02-16 ルネサスエレクトロニクス株式会社 半導体装置
CN106653762B (zh) * 2015-10-30 2020-04-21 联华电子股份有限公司 非挥发性存储器及其制造方法
US9831262B2 (en) * 2015-12-30 2017-11-28 Taiwan Semiconductor Manufacturing Co., Ltd. Embedded HKMG non-volatile memory
JP6652445B2 (ja) * 2016-05-11 2020-02-26 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
JP2018049674A (ja) * 2016-09-21 2018-03-29 ルネサスエレクトロニクス株式会社 半導体装置

Also Published As

Publication number Publication date
CN110832639A (zh) 2020-02-21
CN117750773A (zh) 2024-03-22
JP2020528212A (ja) 2020-09-17
US10242996B2 (en) 2019-03-26
US20210296343A1 (en) 2021-09-23
US20190027487A1 (en) 2019-01-24
CN110832639B (zh) 2024-01-09
JP7160849B2 (ja) 2022-10-25
US20240008279A1 (en) 2024-01-04
US11690227B2 (en) 2023-06-27
DE112018003712T5 (de) 2020-04-09
US20190304990A1 (en) 2019-10-03
TW201919207A (zh) 2019-05-16
WO2019018194A1 (en) 2019-01-24
TWI712160B (zh) 2020-12-01

Similar Documents

Publication Publication Date Title
TWI712160B (zh) 形成具有薄閘極多晶體的高電壓電晶體的方法
US9985042B2 (en) Method of integrating FinFET CMOS devices with embedded nonvolatile memory cells
JP5116294B2 (ja) 半導体構造およびその製造方法(垂直soiトレンチsonosセル)
US7098502B2 (en) Transistor having three electrically isolated electrodes and method of formation
US6831310B1 (en) Integrated circuit having multiple memory types and method of formation
US8883592B2 (en) Non-volatile memory cell having a high K dielectric and metal gate
TWI689084B (zh) 在凹陷基板上形成的分離閘極快閃記憶體單元
US20060113590A1 (en) Method of forming a recess structure, recessed channel type transistor and method of manufacturing the recessed channel type transistor
CN107408557B (zh) 分裂栅闪存阵列和逻辑器件的集成
TWI730136B (zh) 半導體裝置之製造方法
US8963228B2 (en) Non-volatile memory device integrated with CMOS SOI FET on a single chip
TWI816028B (zh) 製造具有垂直浮動閘極nor記憶體單元的製程
JP2018535547A (ja) 別個のワード線及び消去ゲートを有するフラッシュメモリを形成する方法
US9418864B2 (en) Method of forming a non volatile memory device using wet etching
WO2006117851A1 (ja) 半導体装置およびその製造方法
TWI690058B (zh) 積體電路、其包含記憶體裝置及其製造方法
US6699757B1 (en) Method for manufacturing embedded non-volatile memory with sacrificial layers
JP2005191489A (ja) 半導体記憶装置およびその製造方法
JP2005536039A (ja) Nromメモリセルアレイの製造方法
US7498222B1 (en) Enhanced etching of a high dielectric constant layer
JP2007506275A (ja) 不揮発性メモリ装置を製造する方法及びそれによって得られるメモリ装置
JP2004253571A (ja) 半導体装置の製造方法及び半導体装置
JP2009076609A (ja) 半導体装置およびその製造方法