TW202111809A - 半導體裝置的製造方法及電漿處理裝置 - Google Patents

半導體裝置的製造方法及電漿處理裝置 Download PDF

Info

Publication number
TW202111809A
TW202111809A TW109123190A TW109123190A TW202111809A TW 202111809 A TW202111809 A TW 202111809A TW 109123190 A TW109123190 A TW 109123190A TW 109123190 A TW109123190 A TW 109123190A TW 202111809 A TW202111809 A TW 202111809A
Authority
TW
Taiwan
Prior art keywords
film
work function
function control
channel
control metal
Prior art date
Application number
TW109123190A
Other languages
English (en)
Other versions
TWI761876B (zh
Inventor
三浦真
佐藤清彦
園田靖
酒井哲
Original Assignee
日商日立全球先端科技股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 日商日立全球先端科技股份有限公司 filed Critical 日商日立全球先端科技股份有限公司
Publication of TW202111809A publication Critical patent/TW202111809A/zh
Application granted granted Critical
Publication of TWI761876B publication Critical patent/TWI761876B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/82345MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823412MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823842Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42372Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out
    • H01L29/42376Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out characterised by the length or the sectional shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823878Complementary field-effect transistors, e.g. CMOS isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes

Abstract

在具有細線狀或薄板狀的通道被層疊於與基板垂直的方向的層疊通道之GAA型FET或奈米叉(nano fork)型FET等的3次元構造裝置的製造工程中,可不擴大與具有不同的臨界值電壓的FET之間來分開製作功函數控制金屬。 為此,實行: 第1工程S10,其係至功函數控制金屬膜22露出為止,進行將遮罩材料23開口的各向異性蝕刻; 第2工程S11,其係使保護膜26堆積; 第3工程S12,其係留下堆積於在第1工程被開口的遮罩材料的側壁之保護膜,而進行除去保護膜的各向異性蝕刻;及 第4工程S13,其係進行對於保護膜及功函數控制金屬膜選擇性地除去通道間的遮罩材料之各向同性蝕刻。

Description

半導體裝置的製造方法及電漿處理裝置
本發明是有關半導體元件的製造方法及電漿處理裝置。
為了不斷地使積體電路晶片的機能・性能提升,電晶體的微細化不可缺少。為了實現電晶體的微細化,有關用以謀求微細化的電晶體的性能提升的元件的構造、材料的各種的檢討被進行。例如可舉對金屬氧化膜半導體場效電晶體(MOSFET:Metal Oxide Semiconductor Field Effect Transistor)的源極/汲極區域的應變的導入、高介電質閘極絕緣膜及金屬(metal)的導入、從平面(Planar)型到鰭(Fin)型之類的新構造的導入等。
Fin型FET是藉由以閘極覆蓋具有3次元構造的鰭型通道的周圍來使閘極的控制性提升,可抑制起因於伴隨電晶體的微細化之閘極長的縮小所產生的短通道效應(亦即,洩漏電流的增大)。而且,一旦微細化進展,則預料成為:通道被設為線(wire)狀或薄板(sheet)狀的層疊體,其周圍以閘極所覆蓋的週遭閘極型FET(GAA:Gate All Around)。GAA型FET是藉由以閘極覆蓋線或薄板狀的通道(奈米線通道/奈米薄板通道)周圍全部,相較於Fin型FET,可更使閘極控制性提升,進一步抑制短通道效應。
在Fin型FET、GAA型FET的任一中,在FET的通道上是層疊有閘極絕緣膜、功函數控制金屬、低電阻閘極金屬。其中,功函數控制金屬是決定FET成為動作狀態用的臨界值電壓,因此需要按照FET的種類・用途來使用適當的金屬材料。為此,在FET的製造製程中,需要按每個FET的種類來更換功函數控制金屬的工程,使製造製程複雜化,且成為電晶體的微細化的限制。
專利文獻1是有關Fin型FET,揭示以遮罩材料來覆蓋層疊第1功函數控制金屬的fin而進行圖案化,使特定的fin露出而除去第1功函數控制金屬之後,層疊第2功函數控制金屬的手法。
專利文獻2是有關GAA型FET,揭示以閘極絕緣膜及功函數控制金屬來填埋被層疊的通道間的GAA型FET。
非專利文獻1是提案以絕緣膜來埋入配列於水平方向的一對的奈米薄板通道間,功函數控制金屬不進入的構造(「稱為奈米叉構造(Stacked nanosheet fork structure)」)。由於可縮短以絕緣膜所分離的奈米薄板通道間的間隔,因此有利於微細化。 先前技術文献 專利文獻
專利文獻1:國際公開第2013/101007號 專利文獻2:美國特許出願公開第2018/0308768號說明書 非專利文獻
非專利文獻1:P. Weckx et al., “Stacked nanosheet fork architecture for SRAM design and device co-optimization toward 3nm”, Proceedings of IEDM 2017, 2017年, pp. 505~508
(發明所欲解決的課題)
將專利文獻1所揭示的更換功函數控制金屬的手法適用於GAA型FET時,由於GAA型FET的構造是功函數控制金屬會被層疊於通道的全周,因此產生需要除去被層疊的奈米線或奈米薄板型通道間的遮罩材料。為此,相較於Fin型FET,往水平方向的遮罩材料的蝕刻量會增大,若不擴大取更換功函數控制金屬的FET間的距離,則恐有連不可除去的通道間的遮罩材料也被除去之虞。
在非專利文獻1的構造中也有同樣的課題。將以絕緣膜所分離的奈米薄板通道的一方設為p型通道,且將另一方設為n型通道時,在各個的通道層疊以p型FET為對象的功函數控制金屬、以n型FET為對象的功函數控制金屬,因此需要功函數控制金屬的更換。在非專利文獻1是未記載製程的詳細,對於形成有藉由絕緣膜所分離的n型通道與p型通道的裝置,例如,在除去n型通道間的遮罩材料的工程中,恐有同時連p型通道間的遮罩材料也被除去之虞。
相對於此,在專利文獻2所揭示的GAA型FET的構造中,在被層疊的奈米線或奈米薄板型通道間不存在遮罩材料。因此,可迴避上述課題。然而,以功函數控制金屬來填埋被層疊的奈米線或奈米薄板通道間,因此功函數控制金屬是需要某程度的膜厚。為此,當閘極長因為微細化而縮小時,產生不能完全以功函數控制金屬來填埋閘極的可能性。又,由於不能完全將閘極埋入金屬填埋於被層疊的奈米線或奈米薄板通道間,因此有擔心閘極電阻會增大。
本發明是在於提供一種電漿處理裝置,該電漿處理裝置是在具有細線狀或薄板狀的通道被層疊於與基板垂直的方向的層疊通道之GAA型FET或奈米叉型FET等的3次元構造裝置的製造工程中,可在同一裝置連續實行:可不擴大與具有不同的臨界值電壓的FET之間來分開製作功函數控制金屬的工程、及功函數控制金屬的分開製作。 (用以解決課題的手段)
本發明係包含下列工程的半導體元件的製造方法或可連續實行該等的工程的電漿處理裝置, 第1工程,其係至功函數控制金屬膜露出為止,進行將遮罩材料開口的各向異性蝕刻; 第2工程,其係使保護膜堆積; 第3工程,其係留下堆積於在第1工程被開口的遮罩材料的側壁之保護膜,而進行除去保護膜的各向異性蝕刻;及 第4工程,其係進行對於保護膜及功函數控制金屬膜選擇性除去通道間的遮罩材料之各向同性蝕刻。 [發明的效果]
在具有細線狀或薄板狀的通道被層疊於與基板垂直的方向的層疊通道之GAA型FET或奈米叉型FET等的3次元構造裝置的製造工程中,可不擴大與具有不同的臨界值電壓的FET之間來分開製作功函數控制金屬。
其他的課題及新穎的特徴是可由本說明書的記述及附圖明確得知。
以下,根據圖面來說明本發明的實施形態。另外,本發明不是被限定於以下記述的實施例,可在其技術思想的範圍內實施各種的變形。在用以說明實施例的全圖中,對具有相同的機能的構件附上相同的符號,其重複的說明省略。並且,當然可對於作為本實施例揭示的內容改變材料或製造工程的組合等多數的變更。而且,圖面並非是正確地配合比例尺,而是以邏輯能夠明確的方式強調重要的部分來模式性地描繪。 實施例1
在實施例1中,說明有關具有奈米叉型FET的裝置,對於層疊奈米線通道或層疊奈米薄板通道之功函數控制金屬膜的分開製作工程。首先,利用圖2A~圖2E來說明奈米叉型FET的通道形成工程。
在圖2A中,在單結晶矽(Si)基板1上形成單結晶矽鍺(SiGe)層3及單結晶矽(Si)層4的層疊膜,在最上層的SiGe層3上是形成薄膜絕緣膜5及硬遮罩6。SiGe層3與Si層4的層疊膜是藉由利用化學氣相成長法(CVD:Chemical Vapor Deposition)等的磊晶成長來成膜,SiGe層3內的鍺(Ge)的組成是可為15~40%。SiGe層3是以在Si基板1晶格匹配的方式成膜,在SiGe內部是含有起因於SiGe與Si的晶格常數的不同之應變能。SiGe層3與Si層4的層疊膜是被交替地重複層疊。SiGe層3與Si層4的重複層疊數及各者的膜厚是需要以被FET要求的特性且在SiGe層含有的應變能不超過在SiGe層3中發生缺陷的臨界膜厚之條件來調整。所希望的膜厚是成為犠牲層的SiGe層3約為8~20nm程度,成為FET的通道的Si層4約為5~10nm程度。又,SiGe層3與Si層4的重複層疊數是分別可設為3~6層。利用CVD法的磊晶成長是例如原料氣體使用氫稀釋後的甲矽烷(SiH4 )、乙矽烷(Si2 H6 )、甲鍺烷(GeH4 )等來進行。在最上層的SiGe層3上形成的薄膜絕緣膜5是例如可為矽氧化膜(SiO2 )或准照此的矽氧氮化膜(SiON)或矽氧氮化氧化膜(SiCO)等的絕緣膜,藉由CVD法等來成膜,或藉由在氧氣氛下氧化SiGe層3來成膜。薄膜絕緣膜5的膜厚是約設為2~4nm程度。薄膜絕緣膜5上的硬遮罩6是藉由CVD法等來成膜的矽氮化膜(Si3 N4 )或准照此的SiON膜或SiCO膜、或矽氧碳氮化膜(SiOCN膜)。硬遮罩6的膜厚是約為20~100 nm程度。
硬遮罩6是被加工成線狀的圖案,圖案寬度是形成細線狀的奈米線通道時可調整成約5~15nm程度,形成薄板狀的奈米薄板通道時可調整成約10~50nm程度。由於奈米線通道是通道的周邊長為短,因此利用閘極的控制性高,另一方面,驅動電流的電流值小。另一面,奈米薄板通道是利用閘極的控制性相較於奈米線稍微差,但可取得大的驅動電流。通道形狀是鑑於必要的裝置的應用來決定。線狀的圖案是成為週期狀或准照此的圖案,例如使用以氟化氬氣體(ArF)作為光源的雷射時,若圖案週期為40nm以上80nm以下,則可使用自對準雙重成像技術(SADP:Self-Aligned Double Patterning),若圖案週期為20nm以上40nm以下,則可使用自對準四重圖形技術(SAQP:Self-Aligned Quadruple Patterning)。並且,在進行波長13.5nm的極端紫外線(EUV:Extreme Ultraviolet)曝光時,圖案週期為至40nm是可使用單一曝光(Single Patterning),若圖案週期為20nm以上40nm以下,則可使用SADP。以硬遮罩6作為遮罩,藉由利用電漿的垂直性的蝕刻來蝕刻加工薄膜絕緣膜5、及SiGe層3與Si層4的層疊膜、進一步Si基板1的一部分。然後,在一部分被圖案化的Si基板1的溝內堆積用以形成元件分離區域的元件分離(STI:Shallow Trench Isolation)絕緣膜2,藉由進行STI絕緣膜2的回蝕(etch back)來取得圖2A的構造。STI絕緣膜2是利用CVD等來成膜。STI絕緣膜2的材料是亦可為SiO2 或准照此的SiON或SiCO等。
接著,藉由CVD法等,以能夠覆蓋圖2A的圖案構造之方式堆積用以絕緣FET間的FET分離絕緣膜7,且以化學機械研磨(CMP:Chemical Mechanical Polishing)來使表面平坦化。其次,在藉由FET分離絕緣膜7來進行FET間絕緣分離的區域上堆積光阻(resist)8,藉由對光阻8實施圖案化來取得圖2B所示的構造。在此,光阻8是亦可為由旋塗式碳(Spin-On Carbon:SOC)膜/旋塗式玻璃(Spin-On Glass:SOG)膜/有機光阻所成的三層光阻。在此,旋塗式碳膜是主要由碳所成的有機膜,旋塗式玻璃膜是含Si、氧的有機膜。通常,在使用三層光阻的加工,利用光阻來蝕刻旋塗式玻璃膜,以旋塗式玻璃膜作為遮罩,蝕刻旋塗式碳膜之後,除去光阻及旋塗式玻璃膜,以旋塗式碳膜作為遮罩使用的情況多,此情況,光阻8是主要由旋塗式碳膜所構成。
之後,以光阻8作為遮罩,對FET分離絕緣膜7實施垂直性的蝕刻,然後在氧電漿氣氛下進行灰化而除去光阻8,更進行乾蝕刻或磷酸等的濕蝕刻來除去硬遮罩6。進一步在SiGe層3及Si層4的側壁形成虛擬閘極絕緣膜9,而取得圖2C的構造。虛擬閘極絕緣膜9是可為SiO2 或准照此的絕緣膜,亦可利用熱氧化法或電漿氧化法來氧化SiGe層3及Si層4而形成。膜厚是最好設為1~3nm的範圍。
在圖2C的構造,藉由CVD法等來堆積虛擬閘極10及硬遮罩11(參照圖2D),在硬遮罩11進行圖案化而蝕刻加工之後,以圖案化的硬遮罩11作為遮罩,進行虛擬閘極10的蝕刻。虛擬閘極10是可以非晶質(amorphous) Si或多結晶(poly)Si所形成。硬遮罩11是設為Si3 N4 或SiO2 或准照此的SiON等的絕緣膜。硬遮罩11的圖案化是按照閘極的間距,藉由分開使用SADP或單一曝光等的手法來進行。例如,將閘極間距設為40~70nm,將虛擬閘極10的寬度設定於15~30nm的範圍,使硬遮罩11圖案化。硬遮罩11的蝕刻是例如在硬遮罩11的材料使用Si3 N4 時,藉由在CF4 與氧的混合氣體添加Cl2 等來高度保持與底層的虛擬閘極10的選擇比。接著在虛擬閘極10的蝕刻是使用Cl2 或HBr等的鹵素系的氣體,藉此可成為以底層的薄膜絕緣膜5及虛擬閘極絕緣膜9作為阻擋層(stopper)的選擇蝕刻。
虛擬閘極10的加工後,以CVD法等來堆積閘極側壁間隔物12,藉由進行各向異性蝕刻,只在閘極側壁形成間隔物。閘極側壁間隔物12是可使用低相對介電係數膜的SiON膜或SiOCN膜、或SiCO膜。在閘極側壁間隔物12使用SiCO膜時,閘極側壁間隔物12的各向異性蝕刻是例如可將在CF4 與八氟環丁烷(C4 F8 )中添加氮(N2 )氣體的混合氣體設為蝕刻氣體。閘極側壁間隔物12的水平方向的膜厚是調整於5~15nm的範圍。
其次,以覆蓋n型FET的源極/汲極區域之方式進行硬遮罩的堆積與圖案化,且使用以硬遮罩作為遮罩的蝕刻來蝕刻除去p型FET的源極/汲極區域的薄膜絕緣膜5、虛擬閘極絕緣膜9、及SiGe層3與Si層4的層疊膜。此時,在閘極側壁間隔物12的側壁是SiGe層3與Si層4的層疊膜的側壁會露出,在STI絕緣膜2表面上是被圖案化的Si基板1會露出。使p型SiGe源極/汲極13對於周圍的絕緣膜選擇性地磊晶成長於以上的露出面。之後,經由同樣的工程,形成n型FET的源極/汲極(未圖示),取得圖2D的構造。另外,在n型FET的源極/汲極區域是使以高濃度摻雜的n型Si選擇成長。p型SiGe源極/汲極13及n型Si源極/汲極的選擇成長是藉由使用CVD裝置的磊晶成長來進行,原料氣體是使用以氫稀釋的SiH4 、Si2 H6 、GeH4 ,p型的摻雜氣體是使用以氫稀釋的乙硼烷(B2 H6 ),n型的摻雜氣體是使用以氫或氦氣體稀釋的磷化氫(PH3 )。成長是在氫氣體氣氛下進行,為了使選擇性提升,因應所需添加氯化氫氣體(HCl)。
藉由在圖2D的構造堆積絕緣膜14後(參照圖2E)進行利用CMP等的表面平坦化,n型Si源極/汲極及p型SiGe源極/汲極會以絕緣膜14所覆蓋。進一步,以絕緣膜14及閘極側壁間隔物12作為遮罩,蝕刻除去虛擬閘極10。之後,進一步除去薄膜絕緣膜5、虛擬閘極絕緣膜9及SiGe層3(犠牲層),藉此取得圖2E的構造。虛擬閘極10的蝕刻是以利用Cl2 或HBr等的鹵素系的氣體之各向同性的蝕刻條件來進行。
在圖3顯示由上方看圖2E的俯視圖的平面圖(模式圖)。圖3內的線部分a-a’是表示在FET的閘極形成區域中在與閘極並行方向畫出的線部分。圖1A是表示沿著線部分a-a’的剖面的圖,被點線夾著的區域為相當於圖3的框A的區域。
圖1A是表示在圖2E或圖3的構造上堆積閘極絕緣膜21、p-功函數控制金屬膜(以下將p型FET用功函數控制金屬稱為「p-功函數控制金屬」)22及硬遮罩23、反射防止膜24、光阻劑(photoresist)25,且將光阻劑25及反射防止膜24圖案化的狀態。在此,閘極絕緣膜21是以提高利用閘極的電晶體動作控制性的目的,可為氧化鉿(HfO2 )或氧化鋁(Al2 O3 )等的高介電質材料或該等高介電質材料的層疊膜。並且,在高介電質材料添加鋯(Zr)或鑭(La)或釔(Y)等的金屬材料,也有效改善閘極絕緣膜的特性。閘極絕緣膜21的膜厚是約0.5~3nm。而且,亦可在閘極絕緣膜21的形成前,藉由熱氧化或電漿氧化,在通道Si表面,將薄的SiO2 形成約0.5~1nm程度。p-功函數控制金屬膜22是使用氮化鈦(TiN)或鉭氮化膜(TaN)或具有與該等同等的功函數的金屬化合物。p-功函數控制金屬膜22是可為單層膜,或亦可為組合上述的膜的層疊膜。p-功函數控制金屬膜22的膜厚是調整成在約1~12nm的範圍。在圖1A中,硬遮罩23、反射防止膜24、光阻劑25的層疊膜是最好分別由旋塗式碳膜/旋塗式玻璃膜/有機光阻所成的三層光阻。此情況,藉由塗佈來形成膜,可與膜的形成一起謀求平坦化。但,亦可藉由CVD法等,堆積含碳的膜作為硬遮罩23,在反射防止膜24使用SiON等的無機膜。
圖1A是舉例表示n型FET區域與p型FET區域的境界圖案。因此,在n型FET區域中,需要從p-功函數控制金屬膜22更換成n-功函數控制金屬膜(以下將n型FET用功函數控制金屬稱為「n-功函數控制金屬」)。以下,說明有關更換功函數控制金屬膜的工程。另外,本工程所必要的圖案是不被限於p型FET區域與n型FET區域的境界,例如有具有高臨界值電壓的n型FET區域與具有低臨界值電壓的n型FET區域的境界、具有高臨界值電壓的p型FET區域與具有低臨界值電壓的p型FET區域的境界。
形成圖1A的構造之後,以光阻劑25及反射防止膜24作為遮罩,將硬遮罩23一部分圖案化而取得圖1B的構造。圖案的境界是平面視位於將p型FET區域與n型FET區域隔開的FET分離絕緣膜7上,硬遮罩23的蝕刻是控制蝕刻時間,使停止於覆蓋FET分離絕緣膜7的上端的p-功函數控制金屬膜22露出的階段。
然後,藉由利用ALD(Atomic Layer Deposition)法的成膜技術來堆積保護絕緣膜26,取得圖1C所示的構造。保護絕緣膜26是堆積於反射防止膜24的上面及側壁、被開口的硬遮罩23的側壁及被蝕刻的平面、露出的p-功函數控制金屬膜22上。保護絕緣膜26的材料是考慮與碳系材料的硬遮罩23的蝕刻選擇比,最好為含氮的絕緣膜,例如設為Si3 N4 膜或准照此的SiON膜等。保護絕緣膜26的膜厚是被控制於約2~3nm程度。ALD法是有對於凹凸多的複雜的形狀也可控制性佳地形成薄膜的優點。亦可藉由CVD法等來形成保護絕緣膜26。
其次,進行各向異性的選擇蝕刻,將保護絕緣膜26蝕刻於垂直方向,取得圖1D所示的構造。藉由此蝕刻,實現反射防止膜24的側壁的一部分與硬遮罩23的側壁藉由保護絕緣膜26所覆蓋的狀態。
由圖1D的狀態,實施各向同性的選擇蝕刻,從n型FET區域除去硬遮罩23,取得圖1E所示的構造。在本蝕刻工程中,p型FET區域的硬遮罩23是上面以反射防止膜24所覆蓋,側壁以保護絕緣膜26及絕緣膜7所保護,因此不受用以從n型FET區域除去遮罩材料的各向同性的蝕刻的影響。亦即,在從n型FET區域除去遮罩材料為止的期間,無越過n型FET區域與p型FET區域的境界來除去p型FET區域的遮罩材料的情形。
在搭載ALD成膜機能的電漿處理裝置進行如此的層疊通道間遮罩材料除去工程時,可在同一的電漿處理裝置內連續處理從圖1B所示的硬遮罩23的各向異性蝕刻到圖1E所示的硬遮罩23的各向同性蝕刻之一貫製程。作為電漿處理裝置是亦可為利用感應耦合電漿(ICP:Inductively Coupled Plasma)的蝕刻裝置、利用電容耦合電漿(CCP:CapacitivelyCoupled Plasma)的蝕刻裝置、利用微波電子迴旋共振(ECR:Electron Cyclotron Resonance)電漿的蝕刻裝置的任一個。
在圖4顯示利用微波ECR電漿的電漿處理裝置的構成作為一例。電漿處理裝置是具有處理室(腔室) 401,處理室401是經由真空排氣口402來連接至真空排氣裝置(未圖示),在電漿處理中,處理室401內是被保持於0.1~10Pa程度的真空。並且,在處理室401的上部是配置有淋浴板403及窗部404。淋浴板403是具有複數的孔,材質是例如石英。氣體供給機構是具有氣體源405、氣體供給裝置406、氣體導入口407,供給電漿處理用的原料氣體。氣體源405是具有處理所必要的複數的氣體種。氣體供給裝置406是具有控制氣體的供給/遮斷的控制閥及控制氣體流量的質量流控制器。又,氣體導入口407是被設在淋浴板403與窗部404之間,將氣體通過淋浴板403的孔來導入至處理室401內。窗部404是使來自處理室上方的電磁波透過,且具有氣密地密封處理室上方的任務。窗部404的材質是使用介電質例如石英。
在處理室401的上部是連接傳播電磁波的導波管409,在導波管409的端部是連接高頻電源的電漿產生用高頻電源408。電漿產生用高頻電源408是用以產生電漿產生用的電磁波的電源,例如電磁波是使用頻率2.45GHz的微波。從電漿產生用高頻電源408產生的微波是傳播於導波管409,射入至處理室401內。藉由導波管409具有延伸於垂直方向的垂直導波管及兼具將微波的方向彎曲90度的轉角的導波管變換器,微波被垂直地射入至處理室401。微波是經由窗部404、淋浴板403來垂直地傳播於處理室401內。被配置於處理室401的外周的磁場產生線圈410是在處理室401形成磁場。從電漿產生用高頻電源408振盪的微波是藉由與利用磁場產生線圈410所形成的磁場的相互作用,在處理室401內產生高密度電漿。
在處理室401的下方是試料台412會對向於窗部404而配置。試料台412的材質是使用鋁或鈦。試料台412是將試料的半導體基板411載置於上面而保持。在此,導波管409、處理室401、試料台412及半導體基板411的中心軸是一致。並且,在試料台412內部是設有用以靜電吸附半導體基板411的電極,藉由施加直流電壓來將半導體基板411靜電吸附於試料台412。而且,在試料台412為了控制蝕刻的各向同性/各向異性,而從高頻偏壓電源413施加高頻電壓。施加的高頻偏壓的頻率是例如可設為400kHz。
電漿處理裝置的各機構是藉由控制部420來控制(在圖4是與各機構的連接省略)。控制部420是按照電漿處理裝置所實行的處理條件(蝕刻處理、ALD成膜處理等)來對各機構指示預定的動作的實行,藉此控制各機構。例如,控制電漿產生用高頻電源408,控制電漿產生用的電磁波的ON-OFF。並且,控制氣體供給機構,調整導入至處理室401的氣體的種類、流量等。並且,控制高頻偏壓電源413,控制被施加於試料台412上的半導體基板411的高頻電壓的強度。
將層疊通道間遮罩材料除去工程的流程圖顯示於圖5。
首先,控制蝕刻時間,用垂直性的蝕刻來蝕刻硬遮罩23(S10,圖1B)。在此工程中,由於保持硬遮罩側壁對於Si基板表面的垂直性為重要,因此在載置Si基板1的試料台412施加高頻偏壓。藉此,電漿內的離子會被吸引至基板,藉此保持垂直性來蝕刻。另外,硬遮罩23的蝕刻是亦可與被堆積於硬遮罩23上部的旋塗式玻璃膜等的反射防止膜24的蝕刻連續在同一裝置內蝕刻。此情況,以光阻劑25作為遮罩的旋塗式玻璃膜24的蝕刻是例如使用六氟化硫(SF6 )或CF4 或C4 F8 等的氟碳化物系氣體或該等的混合氣體,以旋塗式玻璃膜24作為遮罩,蝕刻含旋塗式碳等碳系材料的硬遮罩23時,切換氣體種類,以氧氣體作為主要的蝕刻原料進行蝕刻。
接續於硬遮罩23的蝕刻(S10),在與蝕刻同一電漿處理裝置內的同一處理室,或在經由真空的搬送室來與進行蝕刻的處理室連接的成膜用的處理室進行保護絕緣膜26的成膜(S11)。保護絕緣膜26為了與碳系材料的硬遮罩23取得選擇比,最好為Si3 N4 或准照此的膜。例如將Si3 N4 膜予以ALD成膜時,Si的原料是使用液體原料的雙(特‐丁胺基)矽烷(Bis(tertbutylamino)silane:BTBAS)或雙(二乙胺基)矽烷(Bis(DiEthylAmino)Silane:BDEAS)、或氣體氣體的二氯矽烷(SiH2 Cl2 )。使用液體原料時是使原料氣化而送至氣體管線。原料是與載體氣體的氬(Ar)一起往處理室送入,作為Si的前驅物(precursor)吸附於圖1B的構造表面。然後使用Ar氣體等的淨化氣體來將處理室內的不要的前驅物排氣,其次流入N2 氣體或N2 氣體與氫(H2 )氣體的混合氣體、或氨(NH3 )氣體等含氮的氣體而電漿化,使表面反應。然後,再度以Ar等的惰性氣體來進行淨化,將處理室內的不要的氣體排氣。藉由此一連串的製程,原理上是具有原子層等級的膜厚的Si3 N4 膜會堆積。藉由重複此一連串的製程,圖1C所示般的薄膜ALD絕緣膜會被成膜。
其次,藉由各向異性蝕刻來蝕刻保護絕緣膜26(S12)。蝕刻氣體是使用三氟甲烷(CHF3 )或二氟甲烷(CH2 F2 )或氟甲烷(CH3 F)等的氣體,或使用CF4 或C4 F8 等的氟碳化物系氣體與氫的混合氣體。藉此,可對於含旋塗式碳等碳系材料的硬遮罩23選擇性地蝕刻由Si3 N4 膜所成的保護絕緣膜26。為了維持在圖1D的構造中被開口的硬遮罩23的側壁到處以保護絕緣膜26所覆蓋的狀態,而調整高頻偏壓,控制蝕刻時間,使極力消除往保護絕緣膜26的水平方向的蝕刻量。蝕刻時間是調整成:為了在與基板垂直的方向蝕刻保護絕緣膜26所花的時間的大致1.5~2倍程度,確保過蝕刻量,使保護絕緣膜26不會留在n型FET區域中蝕刻(S10)後的硬遮罩23之上平面。
接著,各向同性蝕刻含旋塗式碳等碳系材料的硬遮罩23(S13)。本工程是如圖1E所示般,對於由旋塗式玻璃膜或准照此的膜所成的反射防止膜24、在被開口的硬遮罩23的側壁所形成的保護絕緣膜26、以及由TiN或TaN等的膜所成的p-功函數控制金屬膜22選擇性地蝕刻硬遮罩23的工程,將氧氣體使用於蝕刻。由於為各向同性的蝕刻,因此設為在Si基板不施加偏壓,根據藉由電漿所產生的氧自由基的蝕刻。
將保護絕緣膜26設為Si3 N4 膜或准照此的膜時,含旋塗式碳等碳系材料的硬遮罩23與保護絕緣膜26的蝕刻選擇比是可設為10~50。因此,若將保護絕緣膜26的膜厚設為約3nm,則可將往硬遮罩23的水平方向的蝕刻進行約30nm以上。一般的奈米線或奈米薄板通道的寬度是5~30nm程度,因此就那樣以保護絕緣膜26來保護應保護的區域的硬遮罩23,可充分除去殘存於被層疊的奈米線或奈米薄板通道間的遮罩材料。有需要寬度更廣的奈米薄板通道的用途時,可因應所需調整保護絕緣膜26的初期膜厚。
為了在同一的電漿處理裝置進行以上的層疊通道間遮罩材料除去工程,只要高精度/高選擇各向異性蝕刻、ALD成膜、高選擇各向同性蝕刻為可能即可,例如,可謀求用以實現高選擇性或蝕刻速度的高精度控制之高精度的氣體流量/溫度/壓力控制性。連續在同一裝置內進行以上的不同的製程工程(各向異性蝕刻/ALD成膜/各向同性蝕刻)時,除了可帶來處理能力的提升以外,可抑制在製程途中在待機暴露晶圓所造成的遮罩材料的氧化等,而實現良品率提升。
接續於層疊通道間遮罩材料除去工程,利用圖6A~6E來說明功函數控制金屬的更換工程及金屬埋入層形成工程。從圖1E所示的構造除去反射防止膜24及保護絕緣膜26而取得圖6A的構造。在此,由旋塗式玻璃膜或准照此的膜所成的反射防止膜24是利用SF6 氣體,或CF4 、C4 F8 等的氟碳化物系氣體或該等的混合氣體,對於含旋塗式碳等碳系材料的硬遮罩23及由Si3 N4 膜或准照此的膜所成的保護絕緣膜26選擇性地蝕刻。可使用各向同性或各向異性蝕刻的條件。接著,保護絕緣膜26是在原料氣體使用CHF3 或CH2 F2 ,或CH3 F,或CF4 、C4 F8 等與氫的混合氣體,對於包含硬遮罩23的周邊的膜選擇性地蝕刻。在蝕刻是使用各向同性蝕刻條件。此反射防止膜24及保護絕緣膜26的蝕刻是亦可與前述的層疊通道間遮罩材料除去工程連續在同一的電漿處理裝置內進行。
由圖6A的構造,以含旋塗式碳等碳系材料的硬遮罩23作為遮罩,用各向同性的乾蝕刻或濕蝕刻來除去p-功函數控制金屬膜22。p-功函數控制金屬膜22是由TiN或TaN或准照此的膜所成,在進行乾蝕刻時,可使用CHF3 與Ar的混合氣體等,在進行濕蝕刻時,可使用氨過氧化氫水溶液(ammonia peroxide mixture:NH3 /H2 O2 /H2 O)等。
由圖6B的構造,在氧電漿氣氛下等除去硬遮罩23而取得圖6C的構造,更在全面形成n-功函數控制金屬膜27,藉此取得圖6D的構造。在此,n-功函數控制金屬膜27是可由在鈦鋁(TiAl)或TiAl含有碳(C)、氧(O)、氮(N)等的金屬所成。n-功函數控制金屬膜27是藉由CVD法或ALD法來成膜。
之後,堆積閘極埋入金屬膜28,經由利用CMP的平坦化,取得圖6E所示的構造。閘極埋入金屬膜28是以減低閘極內的金屬電阻的目的來堆積,可使用鎢(W)等的材料。
在圖6E中,在n型FET區域的奈米線或奈米薄板通道4上是隔著閘極絕緣膜21來形成n-功函數控制金屬膜27,另一方面,在p型MOSFET區域的奈米線或奈米薄板通道4上是隔著閘極絕緣膜21來形成p-功函數控制金屬膜22。n型FET與p型FET間是以FET分離絕緣膜7來隔開,儘管MOSFET間隔縮小,還是在功函數控制金屬膜的更換工程中,不存在違反意圖而被除去的p-功函數控制金屬膜。並且,進一步藉由在被層疊的奈米線通道或奈米薄板通道間堆積低電阻的閘極埋入金屬膜28,減低閘極電阻。
如以上般,邊活用可縮小奈米叉型FET的水平方向的FET間間隔的優點,邊可按照FET的臨界值電壓來更換功函數控制金屬。另外,雖說明了最初形成p-功函數控制金屬膜的例子,但亦可形成n-功函數控制金屬膜而更換至p-功函數控制金屬膜。 實施例2
在實施例2中,藉由分開製作功函數控制金屬的層疊膜,實現臨界值電壓不同的MOSFET。圖7A是由上方看圖2E的俯視圖的平面圖。圖7A所示的8個的MOSFET的通道是分別具有3種類的臨界值電壓的n型FET (nMOS-1~3)及p型FET(pMOS-1~3)的通道,使對應於臨界值電壓的功函數控制金屬的層疊膜堆積於通道上。另外,對具有同樣的臨界值電壓的MOSFET附上相同的符號。
圖7A是表示在實施例1中說明的功函數控制金屬的更換工程之中,取得圖6C的構造時的狀態。在含於區域30的通道,亦即nMOS-2,3及pMOS-1~3的通道是層疊有p-功函數控制金屬膜22。在此是假想堆積複數種類的功函數控制金屬的製程,因此將p-功函數控制金屬膜22記載為p-WFM-1(WFM:work function metal)。
其次,圖7B是在實施例1中說明的功函數控制金屬的更換工程的n-功函數控制金屬膜27(參照圖6D)的堆積後,從pMOS-1的通道除去n-功函數控制金屬膜27的狀態。此製程是使硬遮罩23、反射防止膜24、光阻劑25堆積於圖6D的構造上,將相當於pMOS-1的通道的區域開口而實施在實施例1中說明的層疊通道間遮罩材料除去工程。藉此,pMOS-1的通道上的n-功函數控制金屬膜27會被露出,因此予以除去。此結果,在含於區域31的通道,亦即nMOS-1~3及pMOS-2,3的通道層疊有n-功函數控制金屬膜27。將n-功函數控制金屬膜27記載為n-WFM-1。
圖7C是更在圖7B的構造上堆積n-功函數控制金屬膜(n-WFM-2),從nMOS-3及pMOS-1,3的通道除去n-功函數控制金屬膜(n-WFM-2)者。此製程是可藉由實施在實施例1中說明的層疊通道間遮罩材料除去工程來進行。除去被露出的nMOS-3及pMOS-1,3的通道上的n-功函數控制金屬膜(n-WFM-2)。此結果,n-功函數控制金屬膜(n-WFM-2)會被層疊於含在區域32的通道,亦即nMOS-1,2及pMOS-2的通道。將藉由以上的工程來層疊於各MOSFET的功函數控制金屬層疊膜顯示於圖7D。
藉由以上的一連串的工程,可分別在n型FET與p型FET形成複數種的功函數控制金屬層疊膜。p-WFM-1最好是TiN或TaN,或該等的層疊膜或准照此的膜,p-WFM-1的膜厚最好設為1~4nm。p-WFM-1的蝕刻是進行各向同性的乾蝕刻或濕蝕刻。在進行乾蝕刻時是如前述般使用CHF3 與Ar的混合氣體等,或亦可利用原子層等級的蝕刻為可能的ALE(Atomic Layer Etching),在進行濕蝕刻時是使用氨過氧化氫水溶液等。
最好n-WFM-1是TiAl或在TiAl含有C、O、N等的金屬,或碳化鈦(TiC)、氧化鈦(TiO)等的材料,膜厚是可設為1~4nm。n-WFM-1的蝕刻是利用ALE等來高精度地控制蝕刻速度而進行,或亦可利用與成為底層的p-WFM-1膜的蝕刻選擇性佳的濕蝕刻。n-WFM-1由以TiAl為中心的材料所成時,若在濕蝕刻使用氫氧化鉀(KOH)或氫氧化銨(NH4 OH)等的鹼性系蝕刻溶液,則可取得與以TiN或TaN所構成的p-WFM-1的選擇比。
n-WFM-2是與n-WFM-1同樣,設為TiAl或在TiAl含有C、O、N等的金屬、TiC、TiO等的材料。構成膜的材料的組成是亦可與n-WFM-1同樣,或設為不同的組成。設為與n-WFM-1不同的組成時,可成為與n-WFM-1的選擇蝕刻。使用與n-WFM-1同樣組成的材料時,n-WFM-2的蝕刻是利用ALE等來控制蝕刻量而進行。n-WFM-2的膜厚是可設為1~4nm。
藉由將n-功函數控制金屬膜形成於p-功函數控制金屬膜上,可使p型FET的臨界值電壓變化。例如,在n-功函數控制金屬膜使用TiAl或准照此的膜時,Al會藉由FET的製造工程中的熱負荷而擴散於p-功函數控制金屬膜內,p型FET的臨界值電壓(絕對值)上昇。Al擴散是依存於n-功函數控制金屬膜的膜厚,上述膜厚越厚,p型FET的臨界值電壓越更上昇。另一方面,在n型FET中,若在n-功函數控制金屬膜的下部形成p-功函數控制金屬膜,則n-功函數控制金屬的影響弱,n型FET的臨界值電壓增大。因此,若以圖7D所示的例子比較p型FET、n型FET各者的臨界值電壓(絕對值),則p型FET的臨界值電壓(絕對值)是成為pMOS-1<pMOS-3<pMOS-2,n型FET的臨界值電壓是成為nMOS-1<nMOS-2<nMOS-3。
藉由如此將功函數控制金屬的更換工程複數次適用於不同的區域,分別在n型、p型持有複數種的臨界值電壓的FET的形成為可能。雖以奈米叉型FET為例進行說明,但在GAA型FET也同樣。 實施例3
在實施例3中,說明有關具有GAA型FET的裝置,對於層疊奈米線通道或層疊奈米薄板通道之功函數控制金屬膜的分開製作工程。另外,以和實施例1或實施例2的不同點為中心進行說明,有關同樣的工程,重複的說明是省略。在圖8A~圖8C顯示GAA型FET的通道形成工程。
在圖8A顯示在Si基板51上磊晶成長單結晶SiGe層53與單結晶Si層54的層疊膜後,實施圖案化而埋入STI絕緣膜52之後的構造。製造過程是與實施例1的圖2A所示的工程同等(但圖8A是為了圖案化的硬遮罩除去後的狀態)。又,製造以Si作為通道的GAA型FET時,Si層54可位於最上層。
圖8B是表示形成虛擬閘極絕緣膜55與虛擬閘極60及閘極側壁間隔物62,進一步形成p型FET的SiGe源極/汲極63及n型FET的Si源極/汲極(未圖示)之後的構造。奈米線通道或奈米薄板通道形成前的fin狀的SiGe層53/Si層54的層疊構造會被虛擬閘極60覆蓋。
圖8C是表示在源極/汲極上形成絕緣膜64,在利用CMP的平坦化之後除去虛擬閘極60,更對於Si層54選擇性地除去SiGe層53的工程後的構造。在本工程,形成外周全露出的細線狀或薄板狀的Si層54。
在圖9A~圖9H顯示在GAA型FET中進行功函數控制金屬的分開製作的工程。在圖9A顯示用以規定進行功函數控制金屬的分開製作的區域的光蝕刻微影技術(Lithography)之後的圖。製作圖8C的構造後,在形成奈米線通道或奈米薄板通道的Si層54上形成閘極絕緣膜71與p-功函數控制金屬膜72,將旋塗式碳膜等的硬遮罩73、旋塗式玻璃膜等的反射防止膜74、光阻劑75予以成膜及堆積,使光阻劑75圖案化而取得圖9A的構造。
在圖9A所示的構造上堆積SiO2 等的絕緣膜,藉由進行各向異性蝕刻來形成輔助絕緣膜76,取得圖9B所示的構造。輔助絕緣膜76是作為光阻劑75的側壁作用,具有擴大以光阻劑75所畫定的圖案區域的任務。在此,輔助絕緣膜76的膜厚d是調整為平面視輔助絕緣膜76的側壁端會存在於n型FET區域的最接近p型FET區域的層疊通道上。更如後述般,最好輔助絕緣膜76的側壁端是位於比該通道的中央更靠p型FET區域。
之後,以光阻劑75及輔助絕緣膜76作為遮罩,藉由各向異性蝕刻來蝕刻反射防止膜74,其次藉由各向異性的時間控制蝕刻來蝕刻硬遮罩73,取得圖9C所示的構造。在此,硬遮罩73的蝕刻是控制蝕刻時間,使在最上層的奈米線通道或奈米薄板通道54上的p-功函數控制金屬膜72露出的時間點停止,需要留意最上層的通道不全部露出。
其次,藉由ALD法或CVD法來形成薄膜的絕緣膜77,取得圖9D所示的構造。在此,絕緣膜77的膜種是與實施例1同樣,設為Si3 N4 膜或准照此的膜,成膜條件等是與實施例1同樣。
其次,藉由垂直性蝕刻來加工薄膜的絕緣膜77,藉此形成保護被開口的硬遮罩73的側壁之保護絕緣膜77(圖9E)。接著,以反射防止膜74與硬遮罩73側壁的保護絕緣膜77作為遮罩,對硬遮罩73實施垂直性的蝕刻,取得圖9F所示的構造。藉由本蝕刻,殘存於n型FET區域的被層疊的通道間的硬遮罩73的側壁會露出。
對於圖9F所示的構造,以各向同性蝕刻來蝕刻硬遮罩73,取得圖9G所示的構造。蝕刻是藉由時間控制來進行,在最接近p型FET區域的層疊通道中,在蝕刻後殘存的硬遮罩73的側壁位置會在與藉由保護絕緣膜77所保護的硬遮罩73的側壁位置幾乎接近之處停止蝕刻。本蝕刻是在蝕刻後殘存的硬遮罩73的側壁位置會位於比奈米線或奈米薄板通道54的中央更靠p型FET區域。藉此,在位於n型FET區域的內側的層疊通道層中,可從奈米線或奈米薄板通道54間的硬遮罩73的露出的兩側面的各者蝕刻通道的寬度的一半以上,可完全地除去殘存於奈米線或奈米薄板通道間的遮罩材料。
從圖9G所示的構造來蝕刻除去保護絕緣膜77,藉由進行硬遮罩73的各向同性蝕刻來取得圖9H所示的構造。藉由如此組合各向異性蝕刻及各向同性蝕刻,可取得硬遮罩73的垂直的側壁。如上述般,藉由將以各向同性蝕刻來蝕刻的境界設定成從最接近p型FET區域的層疊通道的奈米線或奈米薄板通道的中央靠p型FET區域,可將硬遮罩73的各向同性蝕刻時的蝕刻量適當化,可嚴格地控制n型FET區域與p型FET區域的境界。
將以上說明的實施例3的被層疊的奈米線或奈米薄板通道間的硬遮罩除去製程彙整於圖10。各蝕刻或保護絕緣膜的成膜時的條件是可使用與實施例1同樣的條件。又,圖10的製程亦如在實施例1說明般,亦可在相同的電漿處理裝置內作為一貫製程連續處理。接續於層疊通道間遮罩材料除去工程,與實施例1同樣,藉由實行功函數控制金屬的更換工程及金屬埋入層形成工程,可製造GAA型FET。
實施例3所示的功函數控制金屬分開製作工程是可改變遮罩區域來重複複數次,與實施例2同樣,分別在n型、p型持有複數種的臨界值電壓的MOSFET的形成也為可能。 實施例4
在實施例4中,按照MOSFET的臨界值電壓來分開製作閘極絕緣膜。在圖11A顯示在Si基板81上形成奈米線或奈米薄板通道84,其次形成閘極絕緣膜101與p-功函數控制金屬膜102之後的構造。本實施例是在閘極絕緣膜101與p-功函數控制金屬膜102之間形成有臨界值電壓調整膜103。閘極絕緣膜101是與實施例1同樣,設為HfO2 或Al2 O3 等的高介電質材料或准照此的膜。臨界值電壓調整膜103是例如使用氧化鑭(La2 O3 )或氧化鎂(MgO)等的金屬氧化膜。在圖11A是顯示將分開製作功函數控制金屬的區域分別設為具有低臨界值電壓的p型FET(低Vt)區域及具有高臨界值電壓的p型FET(高Vt)區域的例子。藉由對於圖11A的構造實行實施例3的功函數控制金屬分開製作工程,取得圖11B的構造。接著,從被除去硬遮罩93的p型FET(低Vt)區域除去p-功函數控制金屬膜102及臨界值電壓調整膜103,然後從p型FET(高Vt)區域除去反射防止膜94及硬遮罩93,藉此取得圖11C所示的構造。
其次,若對圖11C的構造實施熱處理,則在p型FET(高Vt)區域中La或Mg等的金屬材料會擴散至閘極絕緣膜101中,朝第2閘極絕緣膜104變化(圖11D)。在第2閘極絕緣膜104內,藉由La或Mg等的效果,在閘極絕緣膜內形成極化子,藉由極化的效果,在FET的通道表面施加正(plus)的電場。此結果,在p型FET的通道表面中,成為載體的電洞的密度減少,臨界值電壓增大。另外,n型FET的情況,在通道表面中成為載體的電子的密度增加,因此臨界值電壓是作用於減少的方向。
之後,若從p型FET(高Vt)區域除去p-功函數控制金屬膜102及臨界值電壓調整膜103,則取得圖11E所示的構造。然後,藉由將p-功函數控制金屬膜再度成膜,可形成具有同一的功函數控制金屬膜,顯示不同的臨界值電壓之FET。
藉此,不使功函數控制金屬膜形成複雜的層疊膜或使膜厚變化,可製造臨界值電壓不同的複數的FET,由於功函數控制金屬膜的薄膜化可實現,因此可有助於閘極長的進一步的縮小等。雖舉GAA型FET為例說明,但在奈米叉型FET中也同樣。
1,51,81:矽基板 2,52,82:元件分離(STI)絕緣膜 3,53:單結晶矽鍺層 4,54,84:單結晶矽層 5:薄膜絕緣膜 6,61:硬遮罩 7:FET分離絕緣膜 8:光阻 9,55:虛擬閘極絕緣膜 10,60:虛擬閘極 11,61:硬遮罩 12,62:閘極側壁間隔物 13,63:p型SiGe源極/汲極 14,64:絕緣膜 21,71,101:閘極絕緣膜 22,72,102:p-功函數控制金屬膜 23,73:硬遮罩 24,74:反射防止膜 25,75:光阻劑 76:絕緣膜 26,77:保護絕緣膜 27:n-功函數控制金屬膜 28:閘極埋入金屬膜 30~32:區域 103:臨界值電壓調整膜 104:第2閘極絕緣膜 401:處理室(腔室) 402:真空排氣口 403:淋浴板 404:窗部 405:氣體源 406:氣體供給裝置 407:氣體導入口 408:電漿產生用高頻電源 409:導波管 410:磁場產生線圈 411:半導體基板 412:試料台 413:高頻偏壓電源 420:控制部
[圖1A]是表示實施例1的層疊通道間遮罩材料除去工程的剖面圖。 [圖1B]是表示實施例1的層疊通道間遮罩材料除去工程的剖面圖。 [圖1C]是表示實施例1的層疊通道間遮罩材料除去工程的剖面圖。 [圖1D]是表示實施例1的層疊通道間遮罩材料除去工程的剖面圖。 [圖1E]是表示實施例1的層疊通道間遮罩材料除去工程的剖面圖。 [圖2A]是表示奈米叉型FET的通道形成工程的俯視圖。 [圖2B]是表示奈米叉型FET的通道形成工程的俯視圖。 [圖2C]是表示奈米叉型FET的通道形成工程的俯視圖。 [圖2D]是表示奈米叉型FET的通道形成工程的俯視圖。 [圖2E]是表示奈米叉型FET的通道形成工程的俯視圖。 [圖3]是由上方看圖2E的構造的平面圖。 [圖4]是電漿處理裝置的構成例。 [圖5]是實施例1的層疊通道間遮罩材料除去工程的流程圖。 [圖6A]是表示實施例1的功函數控制金屬的更換工程的剖面圖。 [圖6B]是表示實施例1的功函數控制金屬的更換工程的剖面圖。 [圖6C]是表示實施例1的功函數控制金屬的更換工程的剖面圖。 [圖6D]是表示實施例1的功函數控制金屬的更換工程的剖面圖。 [圖6E]是表示實施例1的金屬埋入層形成工程的剖面圖。 [圖7A]是表示實施例2的功函數控制金屬的更換工程的平面圖。 [圖7B]是表示實施例2的功函數控制金屬的更換工程的平面圖。 [圖7C]是表示實施例2的功函數控制金屬的更換工程的平面圖。 [圖7D]是表示被層疊於各MOSFET的功函數控制金屬層疊膜的圖。 [圖8A]是表示GAA型FET的通道形成工程的俯視圖。 [圖8B]是表示GAA型FET的通道形成工程的俯視圖。 [圖8C]是表示GAA型FET的通道形成工程的俯視圖。 [圖9A]是表示實施例3的層疊通道間遮罩材料除去工程的剖面圖。 [圖9B]是表示實施例3的層疊通道間遮罩材料除去工程的剖面圖。 [圖9C]是表示實施例3的層疊通道間遮罩材料除去工程的剖面圖。 [圖9D]是表示實施例3的層疊通道間遮罩材料除去工程的剖面圖。 [圖9E]是表示實施例3的層疊通道間遮罩材料除去工程的剖面圖。 [圖9F]是表示實施例3的層疊通道間遮罩材料除去工程的剖面圖。 [圖9G]是表示實施例3的層疊通道間遮罩材料除去工程的剖面圖。 [圖9H]是表示實施例3的層疊通道間遮罩材料除去工程的剖面圖。 [圖10]是實施例3的層疊通道間遮罩材料除去工程的流程圖。 [圖11A]是表示實施例4的閘極絕緣膜分開製作工程的剖面圖。 [圖11B]是表示實施例4的閘極絕緣膜分開製作工程的剖面圖。 [圖11C]是表示實施例4的閘極絕緣膜分開製作工程的剖面圖。 [圖11D]是表示實施例4的閘極絕緣膜分開製作工程的剖面圖。 [圖11E]是表示實施例4的閘極絕緣膜分開製作工程的剖面圖。

Claims (14)

  1. 一種半導體裝置的製造方法,係於閘極形成區域具有細線狀或薄板狀的通道被層疊於與基板垂直的方向的層疊通道之半導體裝置的製造方法, 前述半導體裝置,係具有: 第1構造體,其係包含第1絕緣膜及藉由前述第1絕緣膜來絕緣分離的前述層疊通道;及 第2構造體,其係包含第2絕緣膜及藉由前述第2絕緣膜來絕緣分離的前述層疊通道, 其特徵為具有: 第1工程,其係在前述第1構造體及前述第2構造體上形成閘極絕緣膜及第1功函數控制金屬膜,以遮罩材料來填埋前述閘極形成區域; 第2工程,其係藉由平面視一端位於前述第1絕緣膜上,另一端位於前述第2絕緣膜上的圖案,至覆蓋前述第1絕緣膜的上端的前述第1功函數控制金屬膜及覆蓋前述第2絕緣膜的上端的前述第1功函數控制金屬膜露出為止,進行將前述遮罩材料開口的各向異性蝕刻; 第3工程,其係於前述第2工程後,使保護膜堆積;及 第4工程,其係留下堆積於在前述第2工程被開口的前述遮罩材料的側壁之前述保護膜,而進行除去前述保護膜的各向異性蝕刻;及 第5工程,其係於前述第4工程後,進行對於前述保護膜及前述第1功函數控制金屬膜選擇性地除去平面視位於前述圖案內的前述遮罩材料之各向同性蝕刻。
  2. 如請求項1之半導體裝置的製造方法,其中,具有: 第6工程,其係於前述第5工程後,除去堆積於前述遮罩材料的側壁的前述保護膜及藉由前述第5工程所露出的前述第1功函數控制金屬膜;及 第7工程,其係於前述第6工程後,除去前述閘極形成區域的前述遮罩材料;及 第8工程,其係於前述第7工程後,在露出的前述第1構造體及前述第2構造體上形成第2功函數控制金屬膜。
  3. 如請求項2之半導體裝置的製造方法,其中,前述半導體裝置係具有:具有第1臨界值電壓的第1MOSFET,及具有第2臨界值電壓的第2MOSFET, 在前述第1MOSFET的前述層疊通道上形成第1功函數控制金屬層疊膜,在前述第2MOSFET的前述層疊通道上形成第2功函數控制金屬層疊膜, 前述第1功函數控制金屬層疊膜與前述第2功函數控制金屬層疊膜,係層疊數或構成層疊膜的功函數控制金屬不同。
  4. 如請求項1之半導體裝置的製造方法,其中,前述半導體裝置係具有:具有第1臨界值電壓的第1MOSFET,及具有第2臨界值電壓的第2MOSFET, 在前述第1工程中,在前述閘極絕緣膜及前述第1功函數控制金屬膜之間形成臨界值電壓調整膜, 具有:從前述第1MOSFET的前述層疊通道除去前述臨界值電壓調整膜,在前述第2MOSFET的前述層疊通道留下前述臨界值電壓調整膜而進行熱處理的工程。
  5. 如請求項1之半導體裝置的製造方法,其中,在同一的電漿處理裝置內連續進行前述第2~前述第5工程。
  6. 一種半導體裝置的製造方法,係於閘極形成區域具有細線狀或薄板狀的通道被層疊於與基板垂直的方向的層疊通道之半導體裝置的製造方法, 其特徵為具有: 第1工程,其係以包圍前述通道的方式形成閘極絕緣膜及第1功函數控制金屬膜,以遮罩材料來填埋前述閘極形成區域; 第2工程,其係依據平面視端部位於前述層疊通道上的圖案,至覆蓋位於前述層疊通道的最上層的前述通道的上端之前述第1功函數控制金屬膜露出為止,進行將前述遮罩材料開口的各向異性蝕刻; 第3工程,其係於前述第2工程後,使保護膜堆積; 第4工程,其係留下堆積於在前述第2工程被開口的前述遮罩材料的側壁之前述保護膜,而進行除去前述保護膜的各向異性蝕刻; 第5工程,其係於前述第4工程後,進行除去平面視位於前述圖案內,前述層疊通道間的前述遮罩材料之各向異性蝕刻; 第6工程,其係於前述第5工程後,進行對於前述保護膜及前述第1功函數控制金屬膜選擇性地除去平面視位於前述圖案內,前述層疊通道的前述通道間的前述遮罩材料之各向同性蝕刻。
  7. 如請求項6之半導體裝置的製造方法,其中,具有第7工程,其係於前述第6工程後,除去堆積於前述遮罩材料的側壁之前述保護膜,進行對於前述第1功函數控制金屬膜選擇性地除去前述遮罩材料之各向同性蝕刻。
  8. 如請求項7之半導體裝置的製造方法,其中,前述半導體裝置,係具有:具有第1臨界值電壓的第1MOSFET,及具有第2臨界值電壓的第2MOSFET, 前述第1MOSFET的前述層疊通道與前述第2MOSFET的前述層疊通道係於前述基板上鄰接配置, 前述圖案的端部位於前述第1MOSFET的前述層疊通道上,且前述圖案的端部係位於比前述層疊通道的前述通道的中央更靠前述第2MOSFET的前述層疊通道。
  9. 如請求項8之半導體裝置的製造方法,其中,具有: 第8工程,其係於前述第7工程後,除去藉由前述第7工程所露出的前述第1功函數控制金屬膜; 第9工程,其係於前述第8工程後,除去前述閘極形成區域的前述遮罩材料;及 第10工程,其係於前述第9工程後,以包圍露出的前述通道之方式形成第2功函數控制金屬膜。
  10. 如請求項9之半導體裝置的製造方法,其中,在前述第1MOSFET的前述層疊通道上形成第1功函數控制金屬層疊膜,在前述第2MOSFET的前述層疊通道上形成第2功函數控制金屬層疊膜, 前述第1功函數控制金屬層疊膜與前述第2功函數控制金屬層疊膜,係層疊數或構成層疊膜的功函數控制金屬不同。
  11. 如請求項8之半導體裝置的製造方法,其中,在前述第1工程中,在前述閘極絕緣膜及前述第1功函數控制金屬膜之間形成臨界值電壓調整膜, 具有:從前述第1MOSFET的前述層疊通道除去前述臨界值電壓調整膜,在前述第2MOSFET的前述層疊通道留下前述臨界值電壓調整膜而進行熱處理的工程。
  12. 如請求項7之半導體裝置的製造方法,其中,在同一的電漿處理裝置內連續進行前述第2~前述第7工程。
  13. 一種電漿處理裝置,係對於半導體基板進行電漿處理的電漿處理裝置,該半導體基板係於閘極形成區域具有:細線狀或薄板狀,在其周圍形成有閘極絕緣膜及功函數控制金屬膜的通道被層疊於與基板垂直的方向的層疊通道,前述閘極形成區域係形成有藉由遮罩材料來填埋的元件,其特徵為具有: 處理室,其係將前述半導體基板保持於真空環境; 試料台,其係被配置於前述處理室內,載置前述半導體基板; 氣體供給機構,其係供給為了電漿處理的氣體至前述處理室; 高頻電源,其係用以產生為了前述電漿處理的電漿; 高頻偏壓電源,其係對前述半導體基板施加高頻偏壓; 控制部,其係依據預定的圖案,至前述功函數控制金屬膜露出為止,連續實行下列工程, 第1工程,其係進行將前述遮罩材料開口的各向異性蝕刻; 第2工程,其係使保護膜堆積; 第3工程,其係留下堆積於在前述第1工程被開口的前述遮罩材料的側壁之前述保護膜,進行除去前述保護膜的各向異性蝕刻;及 第4工程,其係進行對於前述保護膜及前述功函數控制金屬膜選擇性地除去前述通道間的前述遮罩材料之各向同性蝕刻。
  14. 如請求項13之電漿處理裝置,其中,在前述第2工程中,藉由ALD法來使前述保護膜堆積。
TW109123190A 2019-09-13 2020-07-09 半導體裝置的製造方法及電漿處理裝置 TWI761876B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
PCT/JP2019/035998 WO2021048995A1 (ja) 2019-09-13 2019-09-13 半導体装置の製造方法及びプラズマ処理装置
WOPCT/JP2019/035998 2019-09-13

Publications (2)

Publication Number Publication Date
TW202111809A true TW202111809A (zh) 2021-03-16
TWI761876B TWI761876B (zh) 2022-04-21

Family

ID=74866324

Family Applications (1)

Application Number Title Priority Date Filing Date
TW109123190A TWI761876B (zh) 2019-09-13 2020-07-09 半導體裝置的製造方法及電漿處理裝置

Country Status (6)

Country Link
US (1) US20210082766A1 (zh)
JP (1) JP6950096B2 (zh)
KR (1) KR102500552B1 (zh)
CN (1) CN113348536B (zh)
TW (1) TWI761876B (zh)
WO (1) WO2021048995A1 (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20220320090A1 (en) * 2021-03-31 2022-10-06 Taiwan Semiconductor Manufacturing Co., Ltd. Nanosheet device architecture for cell-height scaling
TWI824917B (zh) * 2022-04-29 2023-12-01 台灣積體電路製造股份有限公司 半導體裝置結構與其形成方法

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102316293B1 (ko) 2017-09-18 2021-10-22 삼성전자주식회사 반도체 장치
US11777033B2 (en) * 2020-02-27 2023-10-03 Taiwan Semiconductor Manufacturing Co., Ltd. Transistors having vertical nanostructures
US11329168B2 (en) * 2020-07-31 2022-05-10 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with fish bone structure and methods of forming the same
US11489045B2 (en) * 2021-03-30 2022-11-01 International Business Machines Corporation Nanosheet transistor with body contact
US11923365B2 (en) 2021-05-20 2024-03-05 Samsung Electronics Co., Ltd. Integrated circuit devices including transistor stacks having different threshold voltages and methods of forming the same
EP4338208A1 (en) * 2021-06-08 2024-03-20 Huawei Technologies Co., Ltd. Semiconductor architecture and method of manufacturing semiconductor architecture
US20230114214A1 (en) * 2021-09-24 2023-04-13 Intel Corporation Single-sided nanosheet transistors
US20230126135A1 (en) * 2021-10-25 2023-04-27 Intel Corporation Forksheet transistor with asymmetric dielectric spine
US20230154996A1 (en) * 2021-11-17 2023-05-18 International Business Machines Corporation Nanosheet replacement metal gate patterning scheme
EP4191679A1 (en) * 2021-12-03 2023-06-07 Imec VZW Method for forming a fet device
EP4191669A1 (en) * 2021-12-03 2023-06-07 Imec VZW Method for forming a fet device
EP4191668A1 (en) * 2021-12-03 2023-06-07 Imec VZW A field-effect transistor device
EP4191670A1 (en) * 2021-12-03 2023-06-07 IMEC vzw Method for forming fet device
WO2023170732A1 (ja) * 2022-03-07 2023-09-14 株式会社日立ハイテク プラズマ処理方法

Family Cites Families (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI283066B (en) * 2004-09-07 2007-06-21 Samsung Electronics Co Ltd Field effect transistor (FET) having wire channels and method of fabricating the same
US7371645B2 (en) * 2005-12-30 2008-05-13 Infineon Technologies Ag Method of manufacturing a field effect transistor device with recessed channel and corner gate device
JPWO2009110366A1 (ja) * 2008-03-07 2011-07-14 東京エレクトロン株式会社 プラズマ処理装置
JP2011009526A (ja) * 2009-06-26 2011-01-13 Toshiba Corp 半導体装置及びその製造方法
JP4902888B2 (ja) * 2009-07-17 2012-03-21 パナソニック株式会社 半導体装置およびその製造方法
JP4922373B2 (ja) * 2009-09-16 2012-04-25 株式会社東芝 半導体装置およびその製造方法
WO2013095341A1 (en) * 2011-12-19 2013-06-27 Intel Corporation Cmos implementation of germanium and iii-v nanowires and nanoribbons in gate-all-around architecture
US10658361B2 (en) 2011-12-28 2020-05-19 Intel Corporation Methods of integrating multiple gate dielectric transistors on a tri-gate (FINFET) process
KR101596329B1 (ko) * 2014-08-18 2016-02-23 연세대학교 산학협력단 Vhf를 이용한 pe-ald 장치 및 방법
US9711414B2 (en) * 2014-10-21 2017-07-18 Samsung Electronics Co., Ltd. Strained stacked nanosheet FETS and/or quantum well stacked nanosheet
US9484406B1 (en) * 2015-09-03 2016-11-01 Applied Materials, Inc. Method for fabricating nanowires for horizontal gate all around devices for semiconductor applications
KR102434993B1 (ko) * 2015-12-09 2022-08-24 삼성전자주식회사 반도체 소자
US10535747B2 (en) * 2015-12-23 2020-01-14 Intel Corporation Transistor with dual-gate spacer
US9748404B1 (en) * 2016-02-29 2017-08-29 International Business Machines Corporation Method for fabricating a semiconductor device including gate-to-bulk substrate isolation
CN111627807B (zh) * 2016-03-28 2023-08-29 株式会社日立高新技术 等离子处理方法以及等离子处理装置
KR102272315B1 (ko) * 2016-04-25 2021-07-01 어플라이드 머티어리얼스, 인코포레이티드 수평 게이트 올어라운드 디바이스 나노와이어 에어 갭 스페이서 형성
US10103065B1 (en) 2017-04-25 2018-10-16 International Business Machines Corporation Gate metal patterning for tight pitch applications
KR102293127B1 (ko) * 2017-06-23 2021-08-26 삼성전자주식회사 반도체 소자 및 이의 제조 방법
US9947804B1 (en) * 2017-07-24 2018-04-17 Globalfoundries Inc. Methods of forming nanosheet transistor with dielectric isolation of source-drain regions and related structure
US10374059B2 (en) * 2017-08-31 2019-08-06 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device structure with nanowires
KR102341721B1 (ko) * 2017-09-08 2021-12-23 삼성전자주식회사 반도체 소자
KR102316293B1 (ko) * 2017-09-18 2021-10-22 삼성전자주식회사 반도체 장치
US10685887B2 (en) * 2017-12-04 2020-06-16 Tokyo Electron Limited Method for incorporating multiple channel materials in a complimentary field effective transistor (CFET) device
US10833078B2 (en) * 2017-12-04 2020-11-10 Tokyo Electron Limited Semiconductor apparatus having stacked gates and method of manufacture thereof
JP7197505B2 (ja) * 2017-12-12 2022-12-27 ソニーセミコンダクタソリューションズ株式会社 半導体装置及びその製造方法
US10332803B1 (en) * 2018-05-08 2019-06-25 Globalfoundaries Inc. Hybrid gate-all-around (GAA) field effect transistor (FET) structure and method of forming
US10748935B2 (en) * 2018-06-29 2020-08-18 Taiwan Semiconductor Manufacturing Company, Ltd. Stacked vertically isolated MOSFET structure and method of forming the same
KR20210006727A (ko) * 2019-07-09 2021-01-19 삼성전자주식회사 복수의 채널 패턴을 포함하는 반도체 소자 제조 방법

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20220320090A1 (en) * 2021-03-31 2022-10-06 Taiwan Semiconductor Manufacturing Co., Ltd. Nanosheet device architecture for cell-height scaling
TWI824917B (zh) * 2022-04-29 2023-12-01 台灣積體電路製造股份有限公司 半導體裝置結構與其形成方法

Also Published As

Publication number Publication date
TWI761876B (zh) 2022-04-21
CN113348536A (zh) 2021-09-03
US20210082766A1 (en) 2021-03-18
WO2021048995A1 (ja) 2021-03-18
JPWO2021048995A1 (ja) 2021-09-27
KR102500552B1 (ko) 2023-02-17
KR20210032299A (ko) 2021-03-24
JP6950096B2 (ja) 2021-10-13
CN113348536B (zh) 2024-04-02

Similar Documents

Publication Publication Date Title
TWI761876B (zh) 半導體裝置的製造方法及電漿處理裝置
US11855210B2 (en) Method for fabricating a strained structure and structure formed
JP4398467B2 (ja) 半導体装置の製造方法
TWI723777B (zh) 半導體元件之製造方法及電漿處理裝置
CN107591362B (zh) 半导体结构及其形成方法
KR20140049075A (ko) 트랜지스터 게이트용 캡핑 유전체 구조
TWI768678B (zh) 半導體結構及其形成方法
CN114038801A (zh) 半导体装置的形成方法
WO2024034023A1 (ja) 半導体装置の製造方法及びプラズマ処理方法
TW202407803A (zh) 半導體裝置的製造方法及電漿處理方法
US20220084889A1 (en) Nanostructure Field-Effect Transistor Device and Method of Forming
TW202349505A (zh) 製造半導體裝置的方法及半導體裝置
CN117673145A (zh) 半导体结构及其形成方法
TW202347511A (zh) 半導體裝置及其製造方法