TWI824917B - 半導體裝置結構與其形成方法 - Google Patents

半導體裝置結構與其形成方法 Download PDF

Info

Publication number
TWI824917B
TWI824917B TW112101181A TW112101181A TWI824917B TW I824917 B TWI824917 B TW I824917B TW 112101181 A TW112101181 A TW 112101181A TW 112101181 A TW112101181 A TW 112101181A TW I824917 B TWI824917 B TW I824917B
Authority
TW
Taiwan
Prior art keywords
layer
semiconductor
source
gate
semiconductor layer
Prior art date
Application number
TW112101181A
Other languages
English (en)
Other versions
TW202343789A (zh
Inventor
呂衍昇
溫宗錡
陳彥廷
李威養
林家彬
張智強
郭建億
彭遠清
王志慶
謝文興
李啟弘
育佳 楊
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202343789A publication Critical patent/TW202343789A/zh
Application granted granted Critical
Publication of TWI824917B publication Critical patent/TWI824917B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78606Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device
    • H01L29/78618Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device characterised by the drain or the source properties, e.g. the doping structure, the composition, the sectional shape or the contact structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02587Structure
    • H01L21/0259Microstructure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6653Unipolar field-effect transistors with an insulated gate, i.e. MISFET using the removal of at least part of spacer, e.g. disposable spacer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66553Unipolar field-effect transistors with an insulated gate, i.e. MISFET using inside spacers, permanent or not
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/04Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their crystalline structure, e.g. polycrystalline, cubic or particular orientation of crystalline planes
    • H01L29/045Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their crystalline structure, e.g. polycrystalline, cubic or particular orientation of crystalline planes by their particular orientation of crystalline planes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Nanotechnology (AREA)
  • Materials Engineering (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Multi-Process Working Machines And Systems (AREA)
  • Semiconductor Integrated Circuits (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)
  • Non-Volatile Memory (AREA)

Abstract

半導體裝置結構包括源極/汲極結構,位於基板上;多個半導體層,位於基板上;閘極層,圍繞半導體層各自的一部分;閘極介電層,接觸閘極層;以及蓋層。蓋層具有第一部分,位於半導體層與源極/汲極結構之間;以及第二部分,自第一部分的兩端向外延伸。半導體裝置結構更包括介電間隔物,位於源極/汲極結構與蓋層的第二部分之間並接觸源極/汲極結構與蓋層的第二部分。

Description

半導體裝置結構與其形成方法
本發明實施例關於蓋層位於內側間隔物與奈米結構通道之間的結構。
半導體積體電路產業已經歷指數成長。積體電路材料與設計的技術進展,使每一代的積體電路比前一代具有更小且更複雜的電路。在積體電路演進中,功能密度(比如單位晶片面積的內連線裝置數目)通常隨著幾何尺寸(比如採用的製作製程所能產生的最小構件或線路)縮小而增加。尺寸縮小的製程通常有利於增加產能與降低相關成本。然而尺寸縮小存在新挑戰。舉例來說,電晶體採用奈米結構通道以改善裝置中的載子遷移率與驅動電流。內側間隔物通常位於金屬閘極與源極/汲極結構之間,以在後續的閘極置換製程時保護源極/汲極結構免於損傷。雖然內側間隔物的形成方法通常符合預期目的,但無法完全符合所有方面的需求。
本發明一實施例提供半導體裝置結構。半導體裝置結構包括源極/汲極結構,位於基板上;多個半導體層,位於基板上;閘極層,圍繞半導體層各自的一部分;閘極介電層,接觸閘極層;以及蓋層。蓋層具有第一部分,位於半導體層與源極/汲極結構之間;以及第二部分,自第一部分的兩端向外延伸。半導體裝置結構更包括介電間隔物,位於源極/汲極結構與蓋層的第二部分之間並接觸源極/汲極結構與蓋層的第二部分。
本發明一實施例提供半導體裝置結構。半導體裝置結構包括第一源極/汲極結構,形成於基板的第一裝置區上;第二源極/汲極結構,形成於基板的第一裝置區上;兩個或更多個第一半導體層,彼此平行且位於第一源極/汲極結構與第二源極/汲極結構之間;第一閘極層,圍繞至少一第一半導體層的一部分;第一介電間隔物,位於兩個相鄰的第一半導體層之間,且第一介電間隔物接觸第一源極/汲極結構;以及第一蓋層,圍繞至少一第一半導體層的邊緣部分。
本發明一實施例提供半導體裝置結構的形成方法。方法包括形成半導體層堆疊於基板上,半導體層堆疊包括交錯堆疊的多個第一半導體層與多個第二半導體層;自半導體層堆疊與基板形成鰭狀結構;形成犧牲閘極結構於鰭狀結構的一部分上;移除犧牲閘極結構未覆蓋的鰭狀結構的部分,以露出基板的一部分;移除第二半導體層的邊緣部分,以形成多個空洞於相鄰的第一半導體層之間;形成蓋層於第一半導體層與第二半導體層各自露出的表面上;形成介電間隔物於蓋層上並填入空洞;形成源極/汲極結構於犧牲閘極結構的兩側上,且源極/汲極結構接觸介電間隔物;移除犧牲閘極結構與第二半導體層,以露出第一半導體層與蓋層的部分;以及形成閘極層以圍繞至少一第一半導體層的露出部分,其中閘極層與介電間隔物隔有蓋層。
下述詳細描述可搭配圖式說明,以利理解本發明的各方面。值得注意的是,各種結構僅用於說明目的而未按比例繪製,如本業常態。實際上為了清楚說明,可任意增加或減少各種結構的尺寸。
以下揭露的內容提供許多不同的實施例或實例以實施本案的不同特徵。以下揭露的內容說明各個構件及其排列方式的特定例子以簡化說明。這些特定例子並非用以侷限本發明實施例。舉例來說,若本發明實施例說明第一結構形成於第二結構之上,即表示其第一結構可能與第二結構直接接觸,或額外結構可能形成於第一結構與第二結構之間,使第一結構與第二結構未直接接觸。此外,本發明多種例子可重複標號以簡化說明或使說明清楚,並不代表多種實施例及/或設置中具有相同標號的結構具有同樣的相對關係。
此外,空間相對用語如「在…下方」、「下方」、「較低的」、「上方」、「較高的」、或類似用詞,用於描述圖式中一些元件或結構與另一元件或結構之間的關係。這些空間相對用語包括使用中或操作中的裝置之不同方向,以及圖式中所描述的方向。當裝置轉向不同方向時(旋轉90度或其他方向),則使用的空間相對形容詞也將依轉向後的方向來解釋。
雖然本發明實施例以奈米結構通道場效電晶體作說明,但本發明的一些實施方式可用於其他製程及/或其他裝置如平面場效電晶體、鰭狀場效電晶體、水平全繞式閘極場效電晶體、垂直全繞式閘極場效電晶體、或其他合適裝置。本技術領域中具有通常知識者應可輕易理解其他調整亦屬本發明實施例的範疇。在採用全繞式閘極電晶體結構的例子中,全繞式閘極電晶體結構的圖案化方法可為任何合適方法。舉例來說,可採用一或多道光微影製程如雙重圖案化製程或多重圖案化製程以圖案化結構。一般而言,雙重圖案化或多重圖案化製程結合光微影與自對準製程,其產生的圖案間距可小於採用單一的直接光微影製程所得的圖案間距。舉例來說,一實施例形成犧牲層於基板上,並採用光微影製程圖案化犧牲層。採用自對準製程以沿著圖案化的犧牲層的側部形成間隔物。接著移除犧牲層,而保留的間隔物可用於圖案化全繞式閘極結構。
圖1至23-1係本發明實施例中,製造半導體裝置結構100的例示性製程。應理解對方法的額外實施例而言,在圖1至23-1所示的製程之前、之中、與之後可提供額外步驟,且可置換或省略一些下述步驟。步驟或製程的順序可調換而不限於說明順序。
圖1至5係一些實施例中,製造半導體裝置結構100的多種階段的透視圖。如圖1所示,半導體裝置結構100包含基板101,而摻質佈植至基板中以形成井區。基板101可為半導體基板。基板101可包括結晶半導體材料,比如但不限於矽、鍺、矽鍺、砷化鎵、銻化銦、磷化鎵、銻化鎵、砷化銦鋁、砷化銦鎵、磷化鎵銻、砷化鎵銻、磷化銦、或上述之組合。在一實施例中,基板101的組成為矽。基板101可摻雜或未摻雜。基板101可為基體半導體基板,比如基體矽基板如晶圓、絕緣層上矽基板、多層基板、組成漸變基板、或類似物。
基板101包括第一裝置區103以形成n型裝置如n型金氧半裝置(如n型全繞式閘極電晶體),以及第二裝置區105以形成p型裝置如p型金氧半裝置(如p形全繞式閘極電晶體)。為了分開第一裝置區103與第二裝置區105,可形成具有n型摻質與p型摻質的井區於基板101中。為了形成所需井區,可佈植n型摻質與p型摻質至基板101,端視將形成的裝置為何。舉例來說,可佈植n型摻質如磷或砷以形成n型井區,或佈植p型摻質如硼以形成p型井區。n型井區與p型井區的形成方法可採用一或多道佈植技術如擴散佈植、離子佈植(如電漿摻雜或束線佈植摻雜)、選擇性佈植、深井佈植、類似方法、或上述之組合。亦可採用遮罩技術以遮罩基板101的一些區域(如第二裝置區105),並在第一井區(如p型井區)佈植製程時露出基板101的其他區域(如第一裝置區103)。一旦完成第一井區佈植製程,可移除遮罩以露出之前遮罩的區域(如第二裝置區105),且可在第二井區(如n型井區)佈植製程時將另一遮罩置於之前露出的區域(如第一裝置區103)上。在圖1所示的一實施例中,基板101包括p型井區107與n型井區109。雖然圖式中的第一裝置區103與第二裝置區105相鄰,但應理解基板101的不同區域的第一裝置區103可沿著X方向或Y方向遠離第二裝置區105,且第一裝置區103與第二裝置區105屬於連續基板(如基板101)。
圖1亦顯示半導體層堆疊104形成於第一裝置區103與第二裝置區105的基板101上。半導體層堆疊104包括不同材料的半導體層,以利形成多閘極裝置如奈米結構場效電晶體中的奈米結構通道。在一些實施例中,半導體層堆疊104包括第一半導體層106與第二半導體層108。在一些實施例中,半導體層堆疊104包括交錯的第一半導體層106與第二半導體層108,且第一半導體層106與第二半導體層108彼此平行。第一半導體層106與第二半導體層108的組成為同蝕刻選擇性及/或氧化速率不同的半導體材料。舉例來說,第一半導體層106的組成可為矽,而第二半導體層108的組成可為矽鍺。在一些例子中,第一半導體層106的組成可為矽鍺,而第二半導體層108的組成可為矽。在一些實施例中,第一半導體層106的組成可為具有的一鍺濃度的矽鍺,而第二半導體層108的組成可為具有第二鍺濃度的矽鍺,且第二鍺濃度低於或高於第一鍺濃度。在一些實施例中,第一半導體層106與第二半導體層108可改為或包括其他材料如鍺、碳化矽、砷化鍺、磷化鎵、磷化銦、砷化銦、銻化銦、磷砷化鎵、砷化鋁銦、砷化鋁鎵、砷化鎵銦、磷化鎵銦、磷砷化鎵銦、或任何上述之組合。
可依應用及/或裝置效能的考量,改變第一半導體層106與第二半導體層108的厚度。在一些實施例中,第一半導體層106與第二半導體層108各自的厚度T1及T2分別為約2 nm至約30 nm。在其他實施例中,第一半導體層106與第二半導體層108的厚度T1及T2為約10 nm至20 nm。第一半導體層106的厚度T1可等於、小於、或大於第二半導體層108的厚度T2。最後可移除第二半導體層108,以定義半導體裝置結構100所用的相鄰通道之間的垂直距離。
第一半導體層106或其部分在後續的製作階段中,可形成半導體裝置結構100的奈米結構通道。此處所述的用語「奈米結構」指的是奈米尺寸或甚至微米尺寸的任何材料部分,其具有伸長形狀,而不論此部分的剖面形狀為何。因此此用語可指圓形或實質上圓形剖面的伸長材料部分,或含有圓柱體或實質上矩形剖面的束狀或棒狀材料部分。閘極可圍繞半導體裝置結構100的奈米結構通道。半導體裝置結構100可包括奈米結構電晶體。奈米結構電晶體可視作奈米線電晶體、奈米片電晶體、全繞式閘極電晶體、多橋通道電晶體、或具有閘極圍繞通道的任何電晶體。採用第一半導體層106定義半導體裝置結構100的通道的內容將進一步說明如下。
第一半導體層106與第二半導體層108的形成方法可為任何合適的沉積製程如磊晶。舉例來說磊晶成長半導體層堆疊104的層狀物的方法可為氣相磊晶、分子束磊晶製程、有機金屬化學氣相沉積製程、及/或其他合適的成長製程如化學氣相沉積、低壓化學氣相沉積、原子層化學氣相沉積、超高真空化學氣相沉積、遠端電漿化學氣相沉積、上述之組合、或類似製程。雖然圖1中有三個第一半導體層106與三個第二半導體層108交錯配置,但半導體層堆疊104中可具有任何數目的第一半導體層106與第二半導體層108,端視每一場效電晶體所需的奈米結構通道的預定數目而定。舉例來說,第一半導體層106的數目如通道數目可介於2至8之間。
在圖2中,可由半導體層堆疊104形成鰭狀結構112,且可形成絕緣材料118於鰭狀結構112之間的溝槽114中。鰭狀結構112各自的一部分含有第一半導體層106、第二半導體層108、井區107及109的一部分、與遮罩結構110的一部分。在形成鰭狀結構112之前,形成遮罩結構110於半導體層堆疊104上。遮罩結構110可包括墊層110a與硬遮罩110b。墊層110a可為含氧層。硬遮罩110b可為含氮層。鰭狀結構112的製作方法可採用合適製程,包括光微影與蝕刻製程。在一些實施例中,微影製程可包括形成光阻層(未圖示)於遮罩結構110上、曝光光阻層至一圖案、進行曝光後烘烤製程、以及顯影光阻層以形成圖案化的光阻層。圖案化的光阻層之後可用於保護基板101的區域與形成其上的層狀物,而蝕刻製程可形成溝槽114於未保護的區域中以穿過遮罩結構110以及半導體層堆疊104至基板101的井區107及109中,進而形成延伸的鰭狀結構112。第一裝置區103的鰭狀結構112沿著Y方向的寬度W1可介於約3 nm至約44 nm之間。第二裝置區105的鰭狀結構112沿著Y方向的寬度W2可等於、小於、或大於寬度W1。蝕刻溝槽114的方法可採用乾蝕刻如反應性離子蝕刻、濕蝕刻、及/或上述之組合。雖然圖式中有兩個鰭狀結構112,但鰭狀結構112的數目不限於2。
在形成鰭狀結構112之後,絕緣材料118形成於鰭狀結構112之間的溝槽114中。絕緣材料118填入相鄰的鰭狀結構112之間的溝槽114,直到鰭狀結構112埋置於絕緣材料118中。接著進行平坦化步驟如化學機械研磨製程及/或回蝕刻製程,以露出鰭狀結構112的頂部。絕緣材料118的組成可為氧化矽、氮化矽、氮氧化矽、碳氮氧化矽、碳氮化矽、氟矽酸鹽玻璃、低介電常數的介電材料、或任何合適的介電材料。絕緣材料118的形成方法可為合適方法如低壓化學氣相沉積、電漿輔助化學氣相沉積、或可流動的化學氣相沉積。接著使絕緣材料118凹陷,以形成隔離區120。絕緣材料118的凹陷露出鰭狀結構112的部分。隔離區120的形成方法可採用合適製程如乾蝕刻製程、濕蝕刻製程、或上述之組合。絕緣材料118的上表面可齊平或低於接觸井區107及109的第二半導體層108的表面。
在圖3中,覆層117形成於鰭狀結構112的露出部分上。覆層117接觸半導體層堆疊104。在一些實施例中,覆層117與第二半導體層108包括相同蝕刻選擇性的相同材料。舉例來說,覆層117與第二半導體層108可為或包括矽鍺。之後移除覆層117與第二半導體層108,以產生後續形成的閘極層所用的空間。襯墊119形成於覆層117與絕緣材料118的上表面上。襯墊119可包括介電常數小於7的材料,比如氧化矽、氮化矽、碳氮化矽、碳氧化矽、或碳氮氧化矽。襯墊119的形成方法可為順應性製程如原子層沉積製程。接著形成介電材料121於溝槽114 (見圖2)之中與襯墊119之上。介電材料121可為可流動的化學氣相沉積所形成的含氧材料如氧化物。含氧材料的介電常數可小於約7,比如小於約3。可進行平坦化製程如化學機械研磨製程,以移除鰭狀結構112上的襯墊119與介電材料121的部分。平坦化製程之後可露出硬遮罩110b上的覆層117的部分。
接著使襯墊119與介電材料121凹陷至最頂部的第一半導體層106的高度。舉例來說,一些實施例在凹陷製程之後,襯墊119與介電材料121的上表面可齊平最頂部的第一半導體層106的上表面。凹陷製程可為選擇性蝕刻製程,其實質上不影響覆層117的半導體材料。凹陷製程可形成溝槽123於鰭狀結構112之間(圖2)。
在圖4中,介電材料125形成於溝槽123 (圖3)之中以及介電材料121與襯墊119之上。介電材料125可包括氧化矽、氮化矽、碳化矽、碳氮化矽、氮氧化矽、碳氮氧化矽、氧化鋁、氮化鋁、氮氧化鋁、氧化鋯、氮化鋯、氧化鋯鋁、氧化鉿、或其他合適的介電材料。在一些實施例中,介電材料125包括高介電常數的介電材料(如介電常數大於7的材料)。介電材料125的形成方法可為任何合適製程如化學氣相沉積、電漿輔助化學氣相沉積、可流動的化學氣相沉積、或原子層沉積製程。可進行平坦化製程如化學機械研磨製程,直到露出遮罩結構110的硬遮罩110b。平坦化製程可移除遮罩結構110上的介電材料125與覆層117的部分。襯墊119、介電材料121、與介電材料125可一起視作介電結構127或混合鰭狀物。介電結構127可用於分開後續形成的源極/汲極磊晶結構與相鄰的閘極層。
在圖5中,使覆層117凹陷,並移除遮罩結構110。使覆層117凹陷的方法可為任何合適製程,比如乾蝕刻、濕蝕刻、或上述之組合。可控制凹陷製程,使保留的覆層117實質上與半導體層堆疊104的最頂部的第一半導體層106的上表面齊平。蝕刻製程可為選擇性蝕刻製程,其實質上不影響介電材料125。移除遮罩結構110的步驟可為任何合適製程,比如乾蝕刻、濕蝕刻、或上述之組合。
之後形成一或多個犧牲閘極結構130於半導體裝置結構100上。犧牲閘極結構130形成於鰭狀結構112的一部分上。每一犧牲閘極結構130可包括犧牲閘極介電層132、犧牲閘極層134、與遮罩層136。犧牲閘極介電層132、犧牲閘極層134、與遮罩層136的形成方法,可為依序沉積犧牲閘極介電層132、犧牲閘極層134、與遮罩層136的毯覆層,接著進行圖案化與蝕刻製程。舉例來說,圖案化製程包括微影製程(如光微影或電子束微影),其可進一步包括塗佈光阻(如旋轉塗佈)、軟烘烤、對準光罩、曝光、曝光後烘烤、顯影光阻、沖洗、乾燥(如旋乾及/或硬烘烤)、其他合適的微影技術、及/或上述之組合。在一些實施例中,蝕刻製程可包括乾蝕刻(反應性離子蝕刻)、濕蝕刻、其他蝕刻方法、及/或上述之組合。
藉由圖案化犧牲閘極結構130,可部分地露出犧牲閘極結構130的兩側上的鰭狀結構112的半導體層堆疊104。犧牲閘極結構130的犧牲閘極層134所覆蓋的鰭狀結構112的部分,可作為半導體裝置結構100所用的通道區。犧牲閘極結構130的兩側上部分露出的鰭狀結構112,可定義半導體裝置結構100所用的源極/汲極區。雖然圖式中有兩個犧牲閘極結構130,但一些實施例可沿著X方向配置三個或更多犧牲閘極結構130。
圖5亦顯示閘極間隔物138形成於犧牲閘極結構130的側壁上。閘極間隔物138的形成方法可先沉積順應性的層狀物,接著回蝕刻層狀物以形成側壁的閘極間隔物138。舉例來說,間隔物材料層可順應性地位於半導體裝置結構100的露出表面上,且其形成方法可為原子層沉積製程或任何合適的順應性沉積技術。之後可在間隔物材料層上進行非等向蝕刻,比如採用反應性離子蝕刻。在非等向蝕刻製程時,可自水平表面如鰭狀結構112、覆層117、介電材料125的水平表面移除主要的間隔物材料層,並保留閘極間隔物138於垂直表面如犧牲閘極結構130的側壁上。閘極間隔物138的組成可為介電材料如氧化矽、氮化矽、碳化矽、氮氧化矽、碳氮化矽、碳氮氧化矽、摻雜碳的氧化物、摻雜氮的氧化物、多孔氧化物、氣隙、及/或上述之組合。
應理解可視情況(非必要)形成覆層117與介電結構127 (如混合鰭狀物)。在一些實施例中,不存在覆層117與介電結構127,而犧牲閘極結構130與閘極間隔物138的部分形成於鰭狀結構112與絕緣材料118上,且間隙形成於鰭狀結構112的露出部分之間。
圖6A至19A係一些實施例中,製造半導體裝置結構100的多種階段沿著圖5的剖面A-A的剖視圖。圖6B至19B係一些實施例中,製造半導體裝置結構100的多種階段沿著圖5的剖面B-B的剖視圖。圖6C及14C至19C係一些實施例中,製造半導體裝置結構100的多種階段沿著圖5的剖面C-C的剖視圖。圖6D及14D至19D係一些實施例中,製造半導體裝置結構100的多種階段沿著圖5的剖面D-D的剖視圖。剖面A-A及B-B位於鰭狀結構112 (圖4)沿著X方向的平面中。剖面C-C為垂直於剖面A-A的平面,且位於犧牲閘極結構130中。剖面D-D為垂直於剖面A-A的平面,且沿著Y方向位於源極/汲極結構146 (圖14A及14B)中。
在圖6A至6D中,可由一或多道合適的蝕刻製程使犧牲閘極結構130與閘極間隔物138未覆蓋的第一裝置區103及第二裝置區105的鰭狀結構112的露出部分、覆層117的露出部分、與介電材料125的露出部分選擇性凹陷,且蝕刻製程可為乾蝕刻、濕蝕刻、或上述之組合。移除鰭狀結構112的部分、覆層117的露出部分、介電材料125的露出部分、與井區107及109的一部分,以露出鰭狀結構112的側壁(圖4)。在一些實施例中,鰭狀結構112的露出部分凹陷至齊平或稍微低於接觸基板101的井區107及109的第二半導體層108的下表面。因此可完全露出鰭狀結構112各自的最底部的第二半導體層108。在一些實施例中,移除製程包括兩個蝕刻製程,其中第一蝕刻製程移除鰭狀結構112的半導體層堆疊104、覆層117、介電材料125、與井區107及109的露出部分,並進行第二蝕刻製程以移除井區107及109的露出部分。移除井區107及109的步驟造成凹陷157及159形成於基體矽區(如井區107及109)的頂部中,但覆蓋而未移除犧牲閘極結構130之下的基體矽區的頂部。移除井區107及109的部分,造成露出的井區107及109的上表面比定義於最底部的第二半導體層108與井區107及109之間的界面分別低了距離D1及D2。在一些實施例中,距離D1及D2為約5 nm至約30 nm。
在一些實施例中,進行移除製程,使第二裝置區105的露出側壁(如n型井區109)比露出側壁(如p型井區107)蝕刻的更深。由於p型金氧半裝置(如p型全繞式閘極電晶體)的通道遷移率與源極/汲極結構的尺寸高度相關,移除大量的露出側壁如井區109可能造成後續形成的源極/汲極結構147 (圖14B)具有較大體積,因此p型金氧半裝置的離子改善的應變效應較高。在此例中,距離D2可比距離D1大了約3 nm至約15 nm。
在圖7A及7B中,沿著X方向水平移除半導體層堆疊104的每一第二半導體層108的邊緣部分。移除第二半導體層108的邊緣部分,以形成空洞131。在一些實施例中,可由選擇性濕蝕刻製程移除第二半導體層108的部分。在第二半導體層108的組成為矽鍺且第一半導體層106的組成為矽及/或鍺濃度小於第二半導體層108的矽鍺的例子中,可選擇性蝕刻第二半導體層108,其可採用的濕蝕刻劑可為但不限於氫氧化銨、氫氧化四甲基銨、乙二胺鄰苯二酚、或氫氧化鉀的水溶液。在蝕刻第二半導體層108使其凹陷的步驟中,亦水平蝕刻第一半導體層106的部分。第二半導體層108的凹陷量大於第一半導體層106的凹陷量。
在圖8A及8B中,順應性地形成蓋層143於犧牲閘極結構130、第一半導體層106、與第二半導體層108的露出表面上。在一些實施例中,蓋層143更形成於基板101的井區107及109的露出部分上。蓋層143可作為蝕刻停止層,以避免蝕刻化學劑(之後用於移除第二半導體層108)擊穿後續形成的內側間隔物144 (圖10A及10B)。若內側間隔物144破損,則移除第二半導體層108的蝕刻製程亦移除後續形成的源極/汲極結構147 (圖14B)。這是因為第二半導體層108的鍺原子%低於或類似於第二裝置區105的源極/汲極結構147的材料(如摻雜硼的矽鍺)的鍺原子%。如此一來,蝕刻製程可能損傷源極/汲極結構147,或甚至完全移除源極/汲極結構147。在任何例子中,形成蓋層143於第二半導體層108與之後形成的內側間隔物144之間,在移除第二半導體層108時可避免或最小化損傷內側間隔物144,進而保護源極/汲極結構146及147的完整性。在任何例子中,形成蓋層143於第二半導體層108與之後形成的內側間隔物144之間。蓋層143與內側間隔物144的總厚度(如約2 nm至8 nm)可避免可信度問題如時間相關的介電崩潰。
蓋層143的組成可為任何合適材料,其可在後續移除第二半導體層108時抵擋化學攻擊。在一些實施例中,蓋層143的組成為介電材料,其不同於閘極間隔物138的材料。適用於蓋層143的材料可包括但不限於氧化矽、氮化矽、碳化矽、碳氮化矽、氮氧化矽、碳氮氧化矽、氧化鋁、氮化鋁、氮氧化鋁、氧化鋁矽、氧化鋯、氮化鋯、氧化鋯鋁、氧化鉿、氧化鉿矽、氮氧化鉿矽、氧化鉿鋁、氧化鉿鑭、氧化鉿鋯、氧化鉿鉭、氧化鉿鈦、氧化鑭、氧化鈦、氧化鉭、氧化釔、任何合適的氧化物為主的介電層、氮化物為主的介電層、碳為主的介電層、高介電常數材料(如介電常數大於或等於7的材料)、或上述之組合。
採用高介電常數材料作為蓋層143的優點不只避免線狀物釋放製程(如移除第二半導體層108)誘發損傷至內側間隔物144,亦可減少有效寄生電容(其關於閘極與電阻-電容時間常數的延遲)。在一些實施例中,蓋層143的組成為純矽(如本質或未摻雜的矽)或實質上純矽(實質上不含雜質,比如雜質%小於約1%)。在一些實施例中,蓋層143的組成為摻雜的矽。在蓋層143為摻雜的矽的例子中,可採用III族元素的摻質如硼。在例示性實施例中,蓋層143為摻雜硼的矽。在多種實施例中,蓋層143的摻質濃度可為約1E17 cm -3至約5E20 cm -3,比如3E21 cm -3。摻雜硼的矽所形成的蓋層143在形成多閘極裝置中的奈米結構通道時,可有效抵擋移除第二半導體層108所用的蝕刻化學劑。如此一來,可保護內側間隔物144的完整性。在一些實施例中,採用摻雜硼的矽作為蓋層具有優點,因為硼摻質可改變下方材料(如第一半導體層106與基板101的井區107及109)的結晶取向,之後促進形成晶面結構148的晶面(圖14B),因此接著促進磊晶的源極/汲極結構147 (圖14B)成長於晶面結構148上。
在一些實施例中,蓋層143為單層結構。在一些實施例中,蓋層143為多層結構。在任何例子中,蓋層143的厚度D3可為約0.1 nm至約2 nm,比如約0.5 nm至約1.5 nm。蓋層143的形成方法可為順應性沉積製程如原子層沉積。可選擇前驅物,使順應性沉積製程為選擇性或非選擇性的沉積製程。在一些實施例中,順應性沉積製程為非選擇性製程,即全面形成蓋層143於犧牲閘極結構130 (如遮罩層136與閘極間隔物138)、第一半導體層106、與第二半導體層108的露出表面上,如圖8A及8B所示。在一些實施例中,順應性沉積製程為選擇性製程,即選擇性形成蓋層143於半導體材料(如第一半導體層106與第二半導體層108)上而不形成蓋層143於介電材料(如遮罩層136與閘極間隔物138)上,如圖12A及12B所示的下述其他實施例。
在圖9A及9B中,介電層144a沉積於蓋層143上。介電層144a亦填入移除第二半導體層108的邊緣部分所提供的空洞131 (如搭配圖7A及7B說明的上述內容)。介電層144a的組成可為介電材料,其不同於蓋層143的材料。介電層144a所用的合適材料可包括但不限於氧化矽、氮化矽、碳化矽、碳磷化矽、氮氧化矽、碳氧化矽、碳氮化矽、碳氮氧化矽、及/或其他合適材料。亦可採用其他材料如介電常數低於約3.5的低介電常數材料。形成介電層144a的方法可為順應性的沉積製程如原子層沉積。與第一半導體層106 (及基板101的井區107及109)相鄰的介電層144a的厚度T3可為約1 nm至約4 nm,而與第二半導體層108相鄰的介電層144a的厚度T4可為約2 nm至約10 nm。在一些實施例中,介電層144a為單層結構。在一些實施例中,介電層144a為多層結構。
在圖10A及10B中,移除介電層144a的部分,並保留介電層144a的部分於相鄰的第一半導體層106之間的空洞131 (圖7A及7B)中,以形成內側間隔物144。在一些實施例中,移除與犧牲閘極結構130、第一半導體層106、基板101的井區107及109相鄰的介電層144a。移除製程可為任何合適的蝕刻製程如乾蝕刻、濕蝕刻、或上述之組合。蝕刻製程所採用的蝕刻劑可選擇性移除介電層144a,而實質上不移除蓋層143。可進行非等向蝕刻以移除介電層144a的部分。在非等向蝕刻製程時,以第一半導體層106與蓋層143保護空洞131中的介電層144a。沿著X方向封蓋內側間隔物144之間的保留的第二半導體層108。
在圖11A及11B中,移除介電層144a的部分之後,移除蓋層143的露出部分。可由移除製程移除犧牲閘極結構130、第一半導體層106、與基板101的井區107及109的露出表面上的蓋層143。移除製程可為選擇性蝕刻製程,其設置以蝕刻蓋層143而不蝕刻內側間隔物144。可控制選擇性蝕刻製程的時間,以在完成選擇性蝕刻製程時,使內側間隔物144與第一半導體層106及第二半導體層108之間且接觸內側間隔物144、第一半導體層106、及第二半導體層108的蓋層143仍保留。
可額外或替代地控制選擇性蝕刻製程的時間,因此不只移除第一半導體層106的第一表面(如沿著Z方向的垂直表面)上的蓋層143,還移除第一半導體層106的第二表面(如沿著X方向的水平表面)上的蓋層143的一部分。在這些例子中,間隙形成於內側間隔物144與第一半導體層106之間。圖11B-1係一些實施例中,圖11B的半導體裝置結構100的一部分的放大圖。在此實施例中,上述的選擇性蝕刻製程造成間隙145形成於內側間隔物144與第一半導體層106之間。移除內側間隔物144與第一半導體層106之間的蓋層143的一部分,造成蓋層143其實質上C形或U形結構夾設於相鄰的第一半導體層106之間,並接觸第一半導體層106、第二半導體層108、與內側間隔物144。之後可將源極/汲極結構146及147或晶面結構148及149填入間隙145 (圖14A及14B)。
圖12A及12B所示的另一其他實施例中,蓋層143接觸第一半導體層106與第二半導體層108。在此實施例中,水平地移除半導體層堆疊104的第二半導體層108的邊緣部分之後,可選擇性形成蓋層143於半導體表面(如第一半導體層106與第二半導體層108的露出表面以及基板101的井區107及109)上,而不形成蓋層143於介電表面(如犧牲閘極結構130的遮罩層136與閘極間隔物138的露出表面)上。接著形成介電層144a於犧牲閘極結構130與蓋層143的露出表面上,且可由搭配圖9A、9B、10A、及10B說明於上的類似方式部分地移除介電層144a。省略圖11A及11B所示的移除露出的蓋層143的步驟。因此內側間隔物144形成於相鄰的第一半導體層106之間的空洞131 (圖7A及7B)中。最終內側間隔物144接觸蓋層143。
圖13A及13B顯示另一其他實施例,其中蓋層143指位於內側間隔物144與第二半導體層108之間。在這些例子中,水平移除半導體層堆疊104的每一第二半導體層108的邊緣部分之後,可選擇性形成蓋層143於第二半導體層108的露出表面上,但不形成蓋層143於第一半導體層106與犧牲閘極結構130上。之後形成介電層144a於犧牲閘極結構130、第一半導體層106、與蓋層143的露出表面上。接著以搭配圖11A及11B說明於上的類似方式移除介電層144a的部分,以形成內側間隔物144。在此實施例中,內側間隔物144的第一表面(如沿著X方向的水平表面)接觸第一半導體層106,而內側間隔物144的第二表面(如沿著Z方向的垂直表面)接觸蓋層143。因此蓋層143位於內側間隔物144與第二半導體層108之間並接觸內側間隔物144與第二半導體層108。
在圖14A至14D中,形成內側間隔物144之後,可形成源極/汲極結構146於第一裝置區103的相鄰的犧牲閘極結構130之間的源極/汲極區中,且可形成源極/汲極結構147於第二裝置區105的相鄰的犧牲閘極結構130之間的源極/汲極區中。源極/汲極結構146及147可為源極/汲極區。舉例來說,一對源極/汲極結構146及147位於犧牲閘極結構130的一側上的一者可為源極區,而一對源極/汲極結構146及147位於犧牲閘極結構130的另一側上的另一者可為汲極區。一對源極/汲極結構146及147包括以奈米結構通道(如第一半導體層106)相連的源極結構與汲極結構。因此本發明實施例中的用語源極與汲極可互換。
在圖14A至14D的例示性實施例中,蓋層143為摻雜硼的矽,且其形成方法可依據圖12A及12B所示的實施例。蓋層143的硼濃度可為約0 (如純矽)至約3E21 cm -3。由於p型摻質如硼通常不利於n型金氧半裝置的電性,在蓋層143含硼的例子中,可在形成源極/汲極結構146之前進行蝕刻製程,以自第一裝置區103的第一半導體層106的第一表面(如沿著Z方向的垂直表面)移除蓋層143。蝕刻製程可為任何合適製程如乾蝕刻、濕蝕刻、或上述之組合。在一些實施例中,蝕刻製程包括乾蝕刻製程與之後的濕蝕刻製程。移除第一裝置區103的蓋層143可自第一半導體層106成長源極/汲極結構146,除非蓋層143含硼。另一方面,第二裝置區105的源極/汲極結構147自蓋層143成長,而蓋層143形成於第一半導體層106的第一表面上。可垂直與水平地成長源極/汲極結構147以形成晶面,以對應第一半導體層106及/或蓋層143的材料的結晶平面。
源極/汲極結構146的形成方法可為先由光阻或其他遮罩材料保護第二裝置區105。一旦保護第二裝置區105,即可在第一裝置區視情況進行蝕刻製程,以自第一半導體層106的露出表面移除蓋層143 (若其含有p型摻質)。源極/汲極結構146的形成方法可採用選擇性磊晶成長、化學氣相沉積、原子層沉積、分子束磊晶、或任何合適的成長製程,以形成裝置所需的合適半導體材料。在一實施例中,源極/汲極結構146用於形成n型金氧半裝置,而源極/汲極結構146可為半導體材料如矽、磷化矽、碳化矽、碳磷化矽、砷磷化矽、砷化矽、或上述之組合。一旦形成源極/汲極結構146,可採用犧牲閘極結構130與閘極間隔物138作為遮罩並佈植摻質如n型摻質至源極/汲極結構146中。在形成源極/汲極結構146之後,形成源極/汲極結構147的方法可自第二裝置區105移除保護(如經由灰化),並以光阻或其他遮罩材料保護第一裝置區103。一旦保護第一裝置區103,即可採用半導體材料如矽、矽鍺、鍺、碳化矽鍺、或上述之組合形成源極/汲極結構147。一旦形成源極/汲極結構147,即可採用犧牲閘極結構130與閘極間隔物138作為遮罩,並佈植摻質如p型摻質至源極/汲極結構147中。接著自第一裝置區103移除保護。
在一些實施例中,其可與本發明的任何其他實施例結合,可分別形成晶面結構148及149於第一半導體層106 (若不存在蓋層143)與蓋層143上,以促進源極/汲極結構146及147的磊晶成長。可垂直與水平成長晶面結構148及149以形成晶面,其可對應第一半導體層106及/或蓋層143的材料的結晶平面。由於不同表面平面上的成長速率不同,因此可形成晶面。舉例來說,在成長晶面結構148及149時,第一半導體層106 (如矽)的(111)平面上的成長速率,可小於其他平面如第一半導體層106的(110)及(100)平面上的成長速率。因此不同平面的成長速率差異造成晶面。晶面結構148及149的晶面可增加表面積,以促進源極/汲極結構146及147的磊晶成長。一旦形成晶面結構148及149,即可以上述的類似方式成長源極/汲極結構146及147於晶面結構148及149上,以覆蓋晶面結構148及149的露出表面。
圖14A-1及14B-1分別為一些實施例中,半導體裝置結構100的一部分的放大圖,其顯示晶面結構148及149。在一實施例中,晶面結構148及149具有類似菱形的形狀。晶面結構148及149可分別至少具有晶面148a及148b與晶面149a及149b。晶面結構148及149的晶面148a、148b、149a及149b可增加表面積,以促進源極/汲極結構146及147的磊晶成長。如圖14B-1所示,第一半導體層106 (如奈米結構通道)各自具有高度D4,而圍繞第一半導體層106的蓋層143可使第一半導體層106的高度由高度D4增加至高度D5。奈米結構通道其增加的高度D5可提供成長其上與形成晶面148a及148b的晶面結構148所用的延伸長度。如此一來,可增進p型金氧半裝置所用的源極/汲極結構147的磊晶成長速率。
晶面結構148及149可包括矽且視情況包括n型或p型摻質,端視成長其上的源極/汲極結構146及147的導電型態而定。舉例來說,第一裝置區103的晶面結構149可為摻雜n型摻質如磷或砷的矽,而第二裝置區105的晶面結構148可為摻雜p型摻質如硼的矽。晶面結構148及149的形成方法可採用選擇性磊晶成長、原子層沉積、分子束磊晶、或任何合適的成長製程。在一些實施例中,晶面結構148及149的形成方法為相同的成長製程。在這些例子中,製程腔室中的蓋層143或第一半導體層106可暴露至含矽前驅物與含n型摻質或p型摻質前驅物,以形成晶面結構148或晶面結構149。成長製程的製程條件設置依據蓋層143與第一半導體層106的結晶平面,以促進形成晶面結構148及149的晶面。一旦達到晶面結構148或149的預定體積,即可終止含n型摻質或p型摻質前驅物流,並將IV族或V族前驅物以及含矽前驅物導入製程腔室,以分別形成源極/汲極結構146及147。因此晶面結構148及149的材料的化學特性不同於源極/汲極結構146及147。在形成源極/汲極結構146及147時可添加摻質於源極/汲極結構146及147中,或者在形成源極/汲極結構146及147之後進行佈植製程,如上所述。
圖14E係一些實施例中,半導體裝置結構100的一部分沿著圖14B的剖面E-E的上視圖。如圖14E所示,晶面結構148自蓋層143成長,而蓋層143具有延伸的長度D6 (相對於第一半導體層106的長度D7),因為蓋層143圍繞第一半導體層106的邊緣部分。延伸的長度D6可使形成其上的晶面結構148的體積較大。在一實施例中,蓋層143可包括第一部分143-1位於第一半導體層106與晶面結構148之間並接觸第一半導體層106與晶面結構148,且蓋層143的至少第二部分143-2位於覆層117與內側間隔物144之間並接觸覆層117與內側間隔物144。內側間隔物144的第一側實質上平坦並靠著源極/汲極結構147,而內側間隔物144的第二側為弧形(比如具有實質上凸出形狀)並靠著蓋層143 (如蓋層143的第二部分143-2)。值得注意的是,之後可移除覆層117,並置換成置換閘極結構190的材料(圖18B)如界面層178、閘極介電層180、及/或閘極層182b。因此在一些實施例中,蓋層143的第二部分143-2可接觸界面層178 (如圖23-1)、閘極介電層180 (如圖18E)、及/或閘極層182b (如圖18E)。
圖14F係一些實施例中,半導體裝置結構100的一部分沿著圖14B的剖面F-F的上視圖。在此圖中,第二半導體層108與覆層117的部分凹陷,因為其可包括相同材料如矽鍺。移除第二半導體層108與覆層117的部分,可產生內側間隔物144所用的空間。在一實施例中,內側間隔物144可包括第一部分144-1位於第二半導體層108與源極/汲極結構147之間並接觸第二半導體層108與源極/汲極結構147,以及至少第二部分144-2位於覆層117與源極/汲極結構147之間並接觸覆層117與源極/汲極結構147。第一部分144-1與第二部分144-2的第一側實質上平坦並靠著源極/汲極結構147,而第一部分144-1與第二部分144-2的第二側為弧形(比如具有實質上凸出形狀)。類似地,之後可移除覆層117與第二半導體層108並置換成置換閘極結構190的材料如界面層178、閘極介電層180、及/或閘極層182b。因此在一些實施例中,第一部分144-1與第二部分144-2可接觸界面層178 (如圖23-1)、閘極介電層180 (如圖18E)、及/或閘極層182b (如圖18E)。
圖14G係一些其他實施例中,半導體裝置結構100的一部分沿著圖14B的剖面E-E的圖式。實施例可與圖14E的實施例實質上一致,差別在於沒有晶面結構148形成於蓋層143與源極/汲極結構147之間。相反地,源極/汲極結構147直接自蓋層143成長,而蓋層143可為搭配圖8A及8B說明如上的純矽或摻雜硼的矽。在此實施例中,蓋層143可包括第一部分143-1位於第一半導體層106與源極/汲極結構147之間並接觸第一半導體層106與源極/汲極結構147,且蓋層143的至少第二部分143-2位於覆層117與內側間隔物144之間並接觸覆層117與內側間隔物144。內側間隔物144的第一側可實質上平坦並靠著源極/汲極結構147,而內側間隔物144的第二側為弧形(比如具有實質上凸出形狀)並靠著蓋層143 (如蓋層143的第二部分143-2)。類似地,之後可移除覆層117與第二半導體層108並置換成置換閘極結構190的材料如界面層178、閘極介電層180、及/或閘極層182b。因此在一些實施例中,第一部分144-1與第二部分144-2可接觸界面層178 (如圖23-1)、閘極介電層180 (如圖18E)、及/或閘極層182b (如圖18E)。
在圖15A至15D中,形成源極/汲極結構146及147之後,順應性地形成接點蝕刻停止層162於半導體裝置結構100的露出表面上。接點蝕刻停止層162覆蓋第一裝置區103與第二裝置區105的源極/汲極結構146及147、閘極間隔物138、與介電材料125。接點蝕刻停止層162可包括含氧材料或含氮材料,比如氮化矽、碳氮化矽、氮氧化矽、氮化碳、氧化矽、碳氧化矽、類似物、或上述之組合,且其形成方法可為化學氣相沉積、電漿輔助化學氣相沉積、原子層沉積、或任何合適的沉積技術。接著形成層間介電層164於半導體裝置結構100上的接點蝕刻停止層162上。層間介電層164所用的材料可包括四乙氧基矽烷所形成的氧化物、未摻雜的矽酸鹽玻璃、或摻雜的氧化矽如硼磷矽酸鹽玻璃、氟矽酸鹽玻璃、磷矽酸鹽玻璃、硼矽酸鹽玻璃、及/或含矽、氧、碳、及/或氫的其他合適介電材料。層間介電層164的沉積方法可為電漿輔助化學氣相沉積製程或其他合適的沉積技術。
一旦形成層間介電層164,可在半導體裝置結構100上進行平坦化步驟如化學機械研磨,以移除層間介電層164、接點蝕刻停止層162、與遮罩層136的部分,直到露出犧牲閘極層134。
在圖16A至16D中,可自第一裝置區103與第二裝置區105的半導體裝置結構100移除犧牲閘極結構130、覆層117、與第二半導體層108。移除犧牲閘極結構130與第二半導體層108,可形成開口166於閘極間隔物138之間與第一半導體層106之間。層間介電層164在移除製程時可保護磊晶的源極/汲極結構146。犧牲閘極結構130的移除方法可採用電漿乾蝕刻及/或濕蝕刻。可先由合適製程如乾蝕刻、濕蝕刻、或上述之組合移除犧牲閘極層134,接著由任何合適製程如乾蝕刻、濕蝕刻、或上述之組合移除犧牲閘極介電層132。在一些實施例中,可採用濕蝕刻劑如氫氧化四甲基銨溶液,以選擇性移除犧牲閘極層134,而不移除閘極間隔物138、介電材料125、層間介電層164、與接點蝕刻停止層162。在一些實施例中,可由移除犧牲閘極層134及/或犧牲閘極介電層132的蝕刻劑使閘極間隔物138凹陷。
在移除犧牲閘極結構130之後,可露出覆層117與第二半導體層108。移除覆層117與第二半導體層108,以露出蓋層143與第一半導體層106。蓋層143在移除覆層117與第二半導體層108時,可避免或最小化損傷內側間隔物144,進而保護源極/汲極結構146及147的完整性。移除製程可為任何合適的蝕刻製程如乾蝕刻、濕蝕刻、或上述之組合。蝕刻製程採用的蝕刻劑可選擇性移除覆層117與第二半導體層108,而實質上不移除閘極間隔物138、層間介電層164、接點蝕刻停止層162、介電材料125、第一半導體層106、與蓋層143。在第一半導體層106為矽且第二半導體層108為矽鍺的一實施例中,蝕刻劑可為氯化氫或任何合適的蝕刻劑。如此一來,蓋層143未覆蓋的第一半導體層106的一部分可暴露於開口166中。
圖17A及17B所示的一些其他實施例可與本發明其他實施例結合,以在移除覆層117與第二半導體層108之後,進一步蝕刻蓋層143的露出部分。可採用任何合適製程蝕刻蓋層143,比如濕式氧化製程、選擇性乾蝕刻製程、及/或選擇性濕蝕刻製程,以選擇性移除蓋層143而實質上不移除閘極間隔物138、層間介電層164、接點蝕刻停止層162、介電材料125、與第一半導體層106。
在圖18A至18D中,形成置換閘極結構190於第一裝置區103與第二裝置區105中移除覆層117與第二半導體層108所提供的區域中。置換閘極結構190各自包括界面層178、閘極介電層180、與閘極層182a或182b。形成界面層178以圍繞第一半導體層106的露出表面。界面層178亦可形成於基板101其露出的井區107及109上。界面層178可為或包括含氧材料或含矽材料,比如氧化矽、氮氧化矽、氮氧化物、矽酸鉿、或類似物。在一實施例中,界面層178為氧化矽。界面層178的形成方法可為化學氣相沉積、原子層沉積、清潔製程、或任何合適製程。接著形成閘極介電層180於半導體裝置結構100的露出表面上。界面層178與閘極介電層180的部分接觸蓋層143。在一些實施例中,閘極介電層180包覆並接觸界面層178。閘極介電層180亦形成於襯墊119與介電材料125上並接觸襯墊119與介電材料125 (圖18C及18D)。閘極介電層180可為或包括高介電常數的介電材料如氧化鉿、矽酸鉿、氮氧化鉿矽、氧化鉿鋁、氧化鉿鑭、氧化鉿鋯、氧化鉿鉭、氧化鉿鈦、氧化鑭、氧化鋁、氧化鋁矽、氧化鋯、氧化鈦、氧化鉭、氧化釔、氮氧化矽、具有氮摻雜的氧化物介電層與金屬含量的組合的高介電常數的介電層(介電常數大於13)、或其他介電常數大於或等於9的合適介電層。閘極介電層180可為順應性製程如原子層沉積製程或化學氣相沉積製程所形成的順應性層狀物。閘極介電層180的厚度可為約0.5 nm至約3 nm。
在形成界面層178與閘極介電層180之後,可形成閘極層182a及182b於閘極介電層180上。可形成閘極層182a以填入第一裝置區103的開口166 (圖16A及16B)並圍繞每一第一半導體層106的一部分。可形成閘極層182b以填入第二裝置區105的開口166並圍繞每一第一半導體層106的一部分。在一些實施例中,閘極層182a及182b可採用多層,且每一層依序沉積於前一層上的方法可採用高順應性的沉積製程如原子層沉積。亦可採用其他沉積技術如物理氣相沉積、化學氣相沉積、或電鍍。雖然未圖示,閘極層182a可包括蓋層、阻障層、n型金屬功函數層、p型金屬功函數層、與填充材料。蓋層與阻障層的組成可為氧化物、金屬氮化物、金屬矽酸鹽、過渡金屬氧化物、過渡金屬氮化物、過渡金屬矽酸鹽、金屬的氮氧化物、金屬的鋁酸鹽、矽酸鋯、鋁酸鋯、上述之組合、或類似物。阻障層的材料可不同於蓋層的材料。n型金屬功函數層的組成可為金屬材料如鎢、銅、鋁銅、碳化鈦鋁、氮化鈦鋁、鈦、氮化鈦、鉭、氮化鉭、鈷、鎳、銀、鋁、鉭鋁、碳化鉭鋁、碳化鉭、碳氮化鉭、氮化鉭矽、錳、鋯、其他合適的n型功函數材料、或上述之組合。p型金屬功函數層可為金屬材料如鎢、鋁、銅、氮化鈦、鈦、氮化鈦鋁、鉭、氮化鉭、鈷、鎳、碳化鉭、碳氮化鉭、氮化鉭矽、鉭矽化物、鎳矽化物、錳、鋯、鋯矽化物、氮化鉭、釕、鋁銅、鉬、鉬矽化物、氮化鎢、其他金屬氧化物、金屬氮化物、金屬矽酸鹽、過渡金屬氧化物、過渡金屬氮化物、過渡金屬矽酸鹽、金屬的氮氧化物、金屬的鋁酸鹽、矽酸鋯、鋁酸鋯、上述之組合、或類似物。一旦形成n型金屬功函數層與p型金屬功函數層,即可沉積填充材料以填入開口166的其餘部分。填充材料可為鎢、鋁、銅、鋁銅、鈦、氮化鈦鋁、碳化鉭、碳氮化鉭、氮化鉭矽、錳、鋯、氮化鈦、鉭、氮化鉭、鈷、鎳、上述之組合、或類似物。
類似地,閘極層182b採用的多層與材料可與上述閘極層182a類似。在一些實施例中,可在相同系列的步驟時形成閘極層182a與閘極層182b中的一或多個層狀物。舉例來說,閘極層182a及182b中的蓋層與阻障層可同時形成,而其他層如n型金屬功函數層與p型金屬功函數層的形成及/或圖案化步驟可彼此分開。可採用沉積與移除步驟的任何合適組合,以形成閘極層182a與閘極層182b。
一旦填入開口166,可由平坦化製程如化學機械研磨平坦化閘極層182a與閘極層182b的材料,以移除犧牲閘極層134的移除步驟之後留在開口之外的任何材料。如此一來,閘極介電層180與源極/汲極結構147之間的蓋層143與內側間隔物144的總厚度D8為約2 nm至約8 nm,比如約4 nm至約5 nm。內側間隔物144其一致的厚度D9 (沿著X方向)可為約0.5 nm至約1.5 nm。閘極層182a的長度L1可為約3 nm至約30 nm。閘極層182b的長度L2可為約3 nm至約30 nm。長度L1可小於或等於長度L2。
圖18E係一些實施例中,半導體裝置結構100的一部分沿著圖18B的剖面E-E的上視圖。如圖18E所示,蓋層143包括第一部分143-1位於第一半導體層106與晶面結構148之間並接觸第一半導體層106與晶面結構148,以及第二部分143-2自第一部分143-1的相對端徑向地向外延伸。第二部分143-2為弧形(如凸出形狀),以符合內側間隔物144的輪廓。第二部分143-2位於內側間隔物144與接觸閘極層182b的閘極介電層180之間,並接觸內側間隔物144與閘極介電層180。在一些實施例中,第二部分143-2的一部分亦接觸第一半導體層106與介電材料121。
圖18F係一些實施例中,半導體裝置結構100的一部分沿著圖18B的剖面F-F的上視圖。如圖18F所示,內側間隔物144包括第一部分144-1,以及自第一部分144-1的兩端延伸的第二部分144-2。第一部分144-1與第二部分144-2各自具有平坦的第一側靠著源極/汲極結構147,以及弧形輪廓(如凸出形狀)的第二側靠著閘極介電層180。在一些實施例中,第一部分144-1的的一曲率半徑小於第二部分144-2的第二曲率半徑。閘極介電層180亦接觸介電材料121。
圖18G係一些其他實施例中,半導體裝置結構100的一部分沿著圖18B的剖面E-E的圖式。此實施例與圖18E的實施例實質上一致,差別在於無晶面結構148形成於蓋層143 (如第一部分143-1)與源極/汲極結構147之間。換言之,蓋層143的第一部分143-1位於第一半導體層106與源極/汲極結構147之間並接觸第一半導體層106與源極/汲極結構147。
在圖19A至19D中,對閘極層182a及182b進行一或多道金屬閘極回蝕刻製程。進行金屬閘極回蝕刻製程,使閘極層182a及182b與閘極介電層180凹陷至低於閘極間隔物138的上表面。如圖19A及19B所示的一些實施例中,閘極間隔物138亦凹陷至低於層間介電層164的上表面。自對準接點層173形成於閘極間隔物138之間的閘極層182a及182b與閘極介電層180上。自對準接點層173可為蝕刻選擇性不同於層間介電層164的介電材料。在一些實施例中,在一些實施例中,自對準接點層173可為介電材料如氮化矽或高介電常數的介電層。一旦形成自對準接點層173,即可採用平坦化製程如化學機械研磨平坦化自對準接點層173。
在形成自對準接點層173之後,可形成接點開口穿過層間介電層164與接點蝕刻停止層162以露出磊晶源極/汲極結構146及147。接著形成矽化物層184於磊晶的源極/汲極結構146及147上,並形成接點186於矽化物層184上的接點開口中。接點186可包括導電材料如鋁、銅、鎢、鈷、鈦、鉭、釕、氮化鈦、鈦鋁、氮化鈦鋁、氮化鉭、碳化鉭、鎳矽化物、鈷矽化物、上述之組合、或類似物。進行矽化製程的方法可為毯覆性沉積適當的金屬層,接著進行退火步驟使金屬與下方的矽反應。接著移除未反應的金屬,且移除方法可為選擇性蝕刻製程。形成接點186於接點開口中的方法可採用濺鍍、化學氣相沉積、電鍍、無電鍍、或類似方法,以填入及/或超填接點開口。考採用平坦化製程如化學機械研磨,以移除接點開口之外的任何沉積材料。
接著可對半導體裝置結構100進行後續的互補式金氧半製程及/或後段製程,以形成多種結構如電晶體、接點/通孔、內連線金屬層、介電層、鈍化層、或類似物。
圖20至23係一些其他實施例中,半導體裝置結構100沿著圖5的剖面B-B的剖視圖。這些其他實施例可與本發明的一或多個實施例結合。圖20的實施例依據圖13B所示的實施例。如圖所示,晶面結構148接觸第一半導體層106與源極/汲極結構147,而內側間隔物144位於蓋層143與源極/汲極結構147之間並接觸蓋層143與源極/汲極結構147。
圖21的實施例依據圖17B所示的實施例。如圖所示,蓋層143係圍繞第一半導體層106的邊緣部分106a及106b的C形或U形結構。具體而言,蓋層143的第一部分143-1沿著Z方向延伸,而第二部分143-2自第一部分143-1沿著X方向延伸。第一部分143-1位於第一半導體層106與晶面結構148之間並接觸第一半導體層106與晶面結構148,而第二部分143-2位於第一半導體層106與內側間隔物144之間並接觸第一半導體層106與內側間隔物144。第一部分143-1亦接觸源極/汲極結構147。
圖22的實施例依據圖11B-1所示的實施例。圖22-1係圖22所示的半導體裝置結構100的部分放大圖。如圖所示,蓋層143係圍繞內側間隔物144的末端的C形或U形結構。具體而言,蓋層143的第一部分143-3沿著Z方向延伸,而第二部分143-4自第一部分143-3沿著X方向延伸。第一部分143-3位於內側間隔物144與閘極介電層180之間並接觸內側間隔物144與閘極介電層180。在一些實施例中,第一部分143-3更接觸界面層178與第一半導體層106。類似地,第二部分143-4位於內側間隔物144與第一半導體層106之間並接觸內側間隔物144與第一半導體層106。在一些實施例中,第二部分143-4亦接觸源極/汲極結構147的一部分,且源極/汲極結構147的一部分可位於內側間隔物144與第一半導體層106之間並接觸內側間隔物144與第一半導體層106。
圖23中的實施例依據圖14A-1所示的實施例。圖20-1係圖23所示的半導體裝置結構100的部分放大圖。如圖所示,蓋層143係圍繞內側間隔物144的至少三側的C形或U形結構。具體而言,蓋層143的第一部分143-5沿著Z方向延伸,而第二部分143-6自第一部分143-5沿著X方向延伸。第一部分143-5位於內側間隔物144與閘極介電層180之間並接觸內側間隔物144與閘極介電層180。第一部分143-5更接觸界面層178與第一半導體層106。第二部分143-6位於內側間隔物144與第一半導體層106之間並接觸內側間隔物144與第一半導體層106。
此處所述的多種實施例比現有技術提供更多優點。在本發明實施例中,可提供蓋層於內側間隔物與奈米結構通道之間,以避免線狀物釋放製程誘發奈米結構通道的場效電晶體的源極/汲極結構損傷。蓋層可為高介電常數的介電層、摻雜的矽(如摻雜硼的矽)或未摻雜的矽(如純矽)。蓋層可有效阻擋奈米結構形成製程時所用的蝕刻化學劑,進而保護源極/汲極結構的完整性。蓋層可增加內側間隔物的物理厚度,有助於避免可信度問題如時間相關的介電崩潰。採用高介電常數的介電層作為蓋層,亦可減少有效寄生電容。
一實施例為半導體裝置結構。半導體裝置結構包括源極/汲極結構,位於基板上;多個半導體層,位於基板上;閘極層,圍繞半導體層各自的一部分;閘極介電層,接觸閘極層;以及蓋層。蓋層具有第一部分,位於半導體層與源極/汲極結構之間;以及第二部分,自第一部分的兩端向外延伸。半導體裝置結構更包括介電間隔物,位於源極/汲極結構與蓋層的第二部分之間並接觸源極/汲極結構與蓋層的第二部分。
在一些實施例中,蓋層的第二部分具有弧形輪廓。
在一些實施例中,蓋層為高介電常數的介電材料。
在一些實施例中,蓋層為純矽。
在一些實施例中,蓋層為摻雜III族元素的矽。
在一些實施例中,半導體裝置結構更包括晶面結構位於源極/汲極結構與蓋層的第一部分之間並接觸源極/汲極結構與蓋層的第一部分。
在一些實施例中,蓋層更包括第三部分,位於介電間隔物與閘極介電層之間並接觸介電間隔物與閘極介電層。
在一些實施例中,晶面結構的材料化學特性不同於源極/汲極結構。
另一實施例為半導體裝置結構。半導體裝置結構包括第一源極/汲極結構,形成於基板的第一裝置區上;第二源極/汲極結構,形成於基板的第一裝置區上;兩個或更多個第一半導體層,彼此平行且位於第一源極/汲極結構與第二源極/汲極結構之間;第一閘極層,圍繞至少一第一半導體層的一部分;第一介電間隔物,位於兩個相鄰的第一半導體層之間,且第一介電間隔物接觸第一源極/汲極結構;以及第一蓋層,圍繞至少一第一半導體層的邊緣部分。
在一些實施例中,第一蓋層接觸第一源極/汲極結構。
在一些實施例中,第一蓋層包括第一部分位於第一閘極層與第一介電間隔物之間。
在一些實施例中,半導體裝置結構更包括第一晶面結構接觸第一源極/汲極結構。
在一些實施例中,第一蓋層更包括:第二部分位於第一晶面結構與至少一第一半導體層之間並接觸第一晶面結構與至少一第一半導體層。
在一些實施例中,第一蓋層更包括第三部分位於第一介電間隔物與至少一第一半導體層之間並接觸第一介電間隔物與至少一第一半導體層。
在一些實施例中,半導體裝置結構更包括第三源極/汲極結構,形成於基板的第二裝置區上;第四源極/汲極結構,形成於基板的第二裝置區上;多個第二半導體層,彼此平行並位於第三源極/汲極結構與第四源極/汲極結構之間;第二閘極層,圍繞至少一第二半導體層的一部分;第二介電間隔物,位於兩個相鄰的第二半導體層之間,且第二介電間隔物接觸第三源極/汲極結構;以及第二蓋層,位於第二閘極層與第三源極/汲極結構之間,且第二蓋層與第一蓋層的組成為相同材料。
在一些實施例中,半導體裝置結構更包括第二晶面結構,位於第三源極/汲極結構與至少一第二半導體層之間並接觸第三源極/汲極結構與至少一第二半導體層。
在一些實施例中,第一蓋層與第二蓋層包括高介電常數的介電材料、純矽、或摻雜硼的矽。
本發明又一實施例為半導體裝置結構的形成方法。方法包括形成半導體層堆疊於基板上,且半導體層堆疊包括交錯堆疊的多個第一半導體層與多個第二半導體層;自半導體層堆疊與基板形成鰭狀結構;形成犧牲閘極結構於鰭狀結構的一部分上;移除犧牲閘極結構未覆蓋的鰭狀結構的部分,以露出基板的一部分;移除第二半導體層的邊緣部分,以形成多個空洞於相鄰的第一半導體層之間;形成蓋層於第一半導體層與第二半導體層各自露出的表面上;形成介電間隔物於蓋層上並填入空洞;形成源極/汲極結構於犧牲閘極結構的兩側上,且源極/汲極結構接觸介電間隔物;移除犧牲閘極結構與第二半導體層,以露出第一半導體層與蓋層的部分;以及形成閘極層以圍繞至少一第一半導體層的露出部分,其中閘極層與介電間隔物隔有蓋層。
在一些實施例中,上述方法更包括:在形成源極/汲極結構於犧牲閘極結構的兩側上之前,形成晶面結構於蓋層的露出表面上。
在一些實施例中,上述方法更包括在形成蓋層於第一半導體層與第二半導體層的每一者的露出表面上之後,自n型區中的第一半導體層的露出表面選擇性移除蓋層,並保留蓋層於p型區中的第一半導體層的露出表面上。
上述實施例之特徵有利於本技術領域中具有通常知識者理解本發明。本技術領域中具有通常知識者應理解可採用本發明作基礎,設計並變化其他製程與結構以完成上述實施例之相同目的及/或相同優點。本技術領域中具有通常知識者亦應理解,這些等效置換並未脫離本發明精神與範疇,並可在未脫離本發明之精神與範疇的前提下進行改變、替換、或更動。
A-A,B-B,C-C,D-D,E-E,F-F:剖面 D1,D2:距離 D3,D8,D9,T1,T2,T3,T4:厚度 D4,D5:高度 D6,D7,L1,L2:長度 W1,W2:寬度 100:半導體裝置結構 101:基板 103:第一裝置區 104:半導體層堆疊 105:第二裝置區 106:第一半導體層 106a,106b:邊緣部分 107,109:井區 108:第二半導體層 110:遮罩結構 110a:墊層 110b:硬遮罩 112:鰭狀結構 114,123:溝槽 117:覆層 118:絕緣材料 119:襯墊 120:隔離區 121,125:介電材料 127:介電結構 130:犧牲閘極結構 131:空洞 132:犧牲閘極介電層 134:犧牲閘極層 136:遮罩層 138:閘極間隔物 143:蓋層 143-1,143-3,143-5,144-1:第一部分 143-2,143-4,143-6,144-2:第二部分 144:內側間隔物 144a:介電層 145:間隙 146,147:源極/汲極結構 148,149:晶面結構 148a,148b,149a,149b:晶面 157, 159:凹陷 162:接點蝕刻停止層 164:層間介電層 166:開口 173:自對準接點層 178:界面層 180:閘極介電層 182a,182b:閘極層 184:矽化物層 186:接點 190:置換閘極結構
圖1至5係一些實施例中,製造半導體裝置結構的多種階段的透視圖。 圖6A至19A係一些實施例中,製造半導體裝置結構的多種階段沿著圖5的剖面A-A的剖視圖。 圖6B至19B係一些實施例中,製造半導體裝置結構的多種階段沿著圖5的剖面B-B的剖視圖。 圖6C、14C至16C及18C至19C係一些實施例中,製造半導體裝置結構的多種階段沿著圖5的剖面C-C的剖視圖。 圖6D、14D至16D及18D至19D係一些實施例中,製造半導體裝置結構的多種階段沿著圖5的剖面D-D的剖視圖。 圖11B-1係一些其他實施例中,圖11B的半導體裝置結構的一部分的放大圖。 圖14A-1及14B-1分別為一些實施例中,圖14A及14B的半導體裝置結構的一部分的放大圖,以顯示晶面結構。 圖14E係一些實施例中,半導體裝置結構的一部分沿著圖14B的剖面E-E的上視圖。 圖14F係一些實施例中,半導體裝置結構的一部分沿著圖14B的剖面F-F的上視圖。 圖14G係一些實施例中,半導體裝置結構的一部分沿著圖14B的剖面E-E的圖式。 圖18E係一些實施例中,半導體裝置結構的一部分沿著圖18B的剖面E-E的上視圖。 圖18F係一些實施例中,半導體裝置結構的一部分沿著圖18B的剖面F-F的上視圖。 圖18G係一些其他實施例中,半導體裝置結構的一部分沿著圖18B的剖面E-E的圖式。 圖20至23係一些其他實施例中,半導體裝置結構沿著圖5的剖面B-B的剖視圖。 圖22-1係圖22所示的半導體裝置結構的一部分的放大圖。 圖23-1係圖23所示的半導體裝置結構的一部分的放大圖。
106:第一半導體層 119:襯墊 121:介電材料 143:蓋層 143-1:第一部分 143-2:第二部分 144:內側間隔物 147:源極/汲極結構 180:閘極介電層 182b:閘極層

Claims (10)

  1. 一種半導體裝置結構,包括:一源極/汲極結構,位於一基板上;多個半導體層,位於該基板上;一閘極層,圍繞該些半導體層各自的一部分;一閘極介電層,接觸該閘極層;一蓋層,包括:一第一部分,位於該些半導體層與該源極/汲極結構之間;以及一第二部分,自該第一部分的兩端向外延伸;以及一介電間隔物,位於該源極/汲極結構與該蓋層的第二部分之間並接觸該源極/汲極結構與該蓋層的該第二部分。
  2. 如請求項1之半導體裝置結構,其中該蓋層的該第二部分具有弧形輪廓。
  3. 如請求項1或2之半導體裝置結構,其中該蓋層為高介電常數的介電材料。
  4. 如請求項1或2之半導體裝置結構,其中該蓋層為純矽。
  5. 一種半導體裝置結構,包括:一第一源極/汲極結構,形成於一基板的一第一裝置區上;一第二源極/汲極結構,形成於該基板的該第一裝置區上;兩個或更多個第一半導體層,彼此平行且位於該第一源極/汲極結構與該第二源極/汲極結構之間;一第一閘極層,圍繞至少一該些第一半導體層的一部分; 一第一介電間隔物,位於兩個相鄰的該些第一半導體層之間,且該第一介電間隔物接觸該第一源極/汲極結構;以及一第一蓋層,圍繞至少一該些第一半導體層的一邊緣部分。
  6. 如請求項5之半導體裝置結構,其中該第一蓋層接觸該第一源極/汲極結構。
  7. 如請求項5或6之半導體裝置結構,其中該第一蓋層包括:一第一部分位於該第一閘極層與該第一介電間隔物之間。
  8. 一種半導體裝置結構的形成方法,包括:形成一半導體層堆疊於一基板上,該半導體層堆疊包括交錯堆疊的多個第一半導體層與多個第二半導體層;自該半導體層堆疊與該基板形成一鰭狀結構;形成一犧牲閘極結構於該鰭狀結構的一部分上;移除該犧牲閘極結構未覆蓋的該鰭狀結構的部分,以露出該基板的一部分;移除該些第二半導體層的邊緣部分,以形成多個空洞於相鄰的該些第一半導體層之間;形成一蓋層於該些第一半導體層與該些第二半導體層各自露出的表面上;形成一介電間隔物於該蓋層上並填入該些空洞;形成一源極/汲極結構於該犧牲閘極結構的兩側上,且該源極/汲極結構接觸該介電間隔物;移除該犧牲閘極結構與該些第二半導體層,以露出該些第一半導體層與該蓋層的部分;以及形成一閘極層以圍繞至少一該些第一半導體層的露出部分,其中該閘極層與 該介電間隔物隔有該蓋層。
  9. 如請求項8之半導體裝置結構的形成方法,更包括:在形成該源極/汲極結構於該犧牲閘極結構的兩側上之前,形成一晶面結構於該蓋層的露出表面上。
  10. 如請求項9之半導體裝置結構的形成方法,更包括:在形成該蓋層於該些第一半導體層與該些第二半導體層的每一者的露出表面上之後,自一n型區中的該些第一半導體層的露出表面選擇性移除該蓋層,並保留該蓋層於一p型區中的該些第一半導體層的露出表面上。
TW112101181A 2022-04-29 2023-01-11 半導體裝置結構與其形成方法 TWI824917B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US17/732,552 2022-04-29
US17/732,552 US20230352594A1 (en) 2022-04-29 2022-04-29 Semiconductor device having nanostructure transistor and methods of fabrication thereof

Publications (2)

Publication Number Publication Date
TW202343789A TW202343789A (zh) 2023-11-01
TWI824917B true TWI824917B (zh) 2023-12-01

Family

ID=88511703

Family Applications (1)

Application Number Title Priority Date Filing Date
TW112101181A TWI824917B (zh) 2022-04-29 2023-01-11 半導體裝置結構與其形成方法

Country Status (3)

Country Link
US (1) US20230352594A1 (zh)
CN (1) CN220086058U (zh)
TW (1) TWI824917B (zh)

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20140166982A1 (en) * 2012-12-18 2014-06-19 International Business Machines Corporation Accurate control of distance between suspended semiconductor nanowires and substrate surface
TW202044417A (zh) * 2019-05-17 2020-12-01 台灣積體電路製造股份有限公司 半導體裝置及其形成方法
TW202109636A (zh) * 2019-08-30 2021-03-01 台灣積體電路製造股份有限公司 半導體裝置的製造方法
TW202111809A (zh) * 2019-09-13 2021-03-16 日商日立全球先端科技股份有限公司 半導體裝置的製造方法及電漿處理裝置
TW202139365A (zh) * 2019-12-31 2021-10-16 日商東京威力科創股份有限公司 3d互補式金屬氧化物半導體(cmos)元件及其形成方法

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20140166982A1 (en) * 2012-12-18 2014-06-19 International Business Machines Corporation Accurate control of distance between suspended semiconductor nanowires and substrate surface
TW202044417A (zh) * 2019-05-17 2020-12-01 台灣積體電路製造股份有限公司 半導體裝置及其形成方法
TW202109636A (zh) * 2019-08-30 2021-03-01 台灣積體電路製造股份有限公司 半導體裝置的製造方法
TW202111809A (zh) * 2019-09-13 2021-03-16 日商日立全球先端科技股份有限公司 半導體裝置的製造方法及電漿處理裝置
TW202139365A (zh) * 2019-12-31 2021-10-16 日商東京威力科創股份有限公司 3d互補式金屬氧化物半導體(cmos)元件及其形成方法

Also Published As

Publication number Publication date
CN220086058U (zh) 2023-11-24
TW202343789A (zh) 2023-11-01
US20230352594A1 (en) 2023-11-02

Similar Documents

Publication Publication Date Title
US11610983B2 (en) Epitaxial features confined by dielectric fins and spacers
TWI828806B (zh) 半導體裝置與其形成方法
US11948842B2 (en) Etch stop layer between substrate and isolation structure
TW201735154A (zh) 半導體裝置及其製造方法
TW202127663A (zh) 半導體裝置
TW202011518A (zh) 半導體裝置的形成方法
TW201839994A (zh) 半導體裝置與其形成方法
TW202008433A (zh) 半導體裝置的形成方法
TW202117855A (zh) 半導體裝置的形成方法
TW202011487A (zh) 半導體裝置的形成方法
TW202133446A (zh) 半導體裝置的製造方法
TW202129765A (zh) 半導體裝置的形成方法
TWI822111B (zh) 半導體裝置與其形成方法
TWI824917B (zh) 半導體裝置結構與其形成方法
TW202131519A (zh) 半導體裝置
CN114220858A (zh) 半导体装置
CN220873584U (zh) 半导体装置结构
US11855167B2 (en) Structure and formation method of semiconductor device with nanosheet structure
TWI783357B (zh) 電晶體與其形成方法
US11855140B2 (en) Gate oxide of nanostructure transistor with increased corner thickness
TWI838669B (zh) 半導體裝置及其形成方法
US11855185B2 (en) Multilayer masking layer and method of forming same
US20240047553A1 (en) Gate-all-around field-effect transistor device
US20220051950A1 (en) Gapfill structure and manufacturing methods thereof
US20240136428A1 (en) Semiconductor Device and Method