TW202101559A - 用於製造半導體元件的方法 - Google Patents

用於製造半導體元件的方法 Download PDF

Info

Publication number
TW202101559A
TW202101559A TW108147389A TW108147389A TW202101559A TW 202101559 A TW202101559 A TW 202101559A TW 108147389 A TW108147389 A TW 108147389A TW 108147389 A TW108147389 A TW 108147389A TW 202101559 A TW202101559 A TW 202101559A
Authority
TW
Taiwan
Prior art keywords
opening
pattern
mask layer
semiconductor structure
layer
Prior art date
Application number
TW108147389A
Other languages
English (en)
Other versions
TWI729651B (zh
Inventor
楊罡
Original Assignee
大陸商長江存儲科技有限責任公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 大陸商長江存儲科技有限責任公司 filed Critical 大陸商長江存儲科技有限責任公司
Publication of TW202101559A publication Critical patent/TW202101559A/zh
Application granted granted Critical
Publication of TWI729651B publication Critical patent/TWI729651B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • H01L23/5283Cross-sectional geometry
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76813Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving a partial via etch
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0338Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76804Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics by forming tapered via holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76811Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving multiple stacked pre-patterned masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76847Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned within the main fill metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Geometry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

一種用於製造半導體元件的方法,包括在半導體結構上方形成遮罩疊層。遮罩疊層具有第一遮罩層和第二遮罩層,其中第二遮罩層設置在第一遮罩層和半導體結構之間。該方法還包括在遮罩疊層中圖案化第一圖案。第一圖案包括:第一開口,其具有形成在第一遮罩層中的第一側壁;第二開口,其具有形成在第二遮罩層中的第二側壁;以及第三開口,其具有形成在半導體結構中的第三側壁。第一圖案的相應開口的第一、第二和第三側壁圍繞中心軸形成,其中第二開口的第二側壁的位置分別比第一開口和第三開口的第一側壁和第三側壁更遠離中心軸。

Description

用於製造半導體元件的方法
本公開內容的實施例涉及用於製造半導體元件的方法。
半導體元件廣泛用於各種電子設備,例如智慧型電話、筆記型電腦、數位相機和其他設備。通常,典型的半導體元件包括具有主動元件(例如電晶體、電容器、電感器和其他部件)的基底。這些主動元件最初彼此隔離,隨後在主動元件上方形成互連結構以產生功能電路。這種互連結構可以包括橫向互連,例如導線或佈線;以及垂直互連,例如導電通孔或接觸插塞。
對更小和更快的半導體元件的需求不斷增長,這些半導體元件同時能夠支持更多數量的日益綜合和複雜的功能。這種按比例縮小製程通常透過提高生產效率和降低相關成本來提供益處。然而,這種按比例縮小也增加了半導體元件的處理和製造的複雜性。隨著半導體元件的尺寸在先進技術節點中縮小到更小的次微米尺寸,在互連結構中的導線(或導電溝槽)的頂部臨界尺寸(CD)和導電通孔的底部CD之間製造具有足夠的CD差的互連結構變成越來越大的挑戰。
本發明構思涉及一種新穎的蝕刻製程,以產生包括導電溝槽和導電通孔的互連結構,例如雙鑲嵌結構。導電溝槽可以具有頂部臨界尺寸(CD),並且導電通孔可以位於導電溝槽下方並且具有底部CD。在相關的蝕刻製程中,可能難以在互連結構的頂部CD和底部CD之間製造具有足夠的CD差的互連結構以滿足設計要求。例如,在相關的蝕刻製程中,可以在內部形成有開口的半導體結構上方形成遮罩層。為了獲得所需的頂部CD值,可以執行修整製程以擴展遮罩層中的開口,並且可以通過隨後的蝕刻製程將擴展的開口轉移到半導體結構中以形成溝槽開口。然而,修整製程還可能減小遮罩層的厚度,這導致在隨後的蝕刻製程期間的保護不足。
在本公開中,根據電路設計,引入了一種新穎方法以產生雙鑲嵌結構,該雙鑲嵌結構在與導電通孔相關聯的底部CD和與導電溝槽相關聯的頂部CD之間具有足夠的CD差。在本公開中,可以在半導體結構上方形成第一遮罩層,並且可以在第一遮罩層和半導體結構之間設置第二遮罩層。可以形成圖案,該圖案包括形成在第一遮罩層中的第一開口、形成在第二遮罩層中的第二開口、以及形成在半導體中的第三開口。通過使用第一遮罩層作為保護層,可以執行修整製程來擴展第二遮罩層中的第二開口。因此,可以在第二遮罩層中獲得擴展開口,同時由於第一遮罩層的保護而保持第二遮罩層的高度。隨後通過執行蝕刻製程將擴展的開口轉移到半導體結構中以形成具有所需CD的溝槽開口。另外,在蝕刻製程期間,第二遮罩層的保持高度可以提供足夠的高度。
根據本公開的一方面,公開了一種用於製造半導體元件的方法,其中遮罩疊層形成在半導體結構上方。遮罩疊層包括第一遮罩層和設置在第一遮罩層和半導體結構之間的第二遮罩層。隨後在遮罩疊層中圖案化第一圖案,該第一圖案包括具有形成在第一遮罩層中的第一側壁的第一開口、具有形成在第二遮罩層中的第二側壁的第二開口、以及具有形成在半導體結構中的第三側壁的第三開口。在第一圖案中,相應第一開口的第一側壁、相應第二開口的第二側壁和相應第三開口的第三側壁圍繞中心軸形成。第二開口的第二側壁的位置分別比第一開口的第一側壁和第三開口的第三側壁更遠離中心軸。
在一些實施例中,遮罩疊層另包括形成在第一遮罩層上方的抗蝕劑層。在所公開的方法中,在抗蝕劑層中圖案化第二圖案。然後執行第一蝕刻製程以將第二圖案轉移到第一遮罩層、第二遮罩層和半導體結構中,以便形成延伸到半導體結構中的第三圖案。此外,執行第一修整製程以去除抗蝕劑層和第二遮罩層的一部分,以便形成第一圖案的第二開口。
可以去除第一遮罩層並且可以執行第二修整製程以使第二遮罩層凹陷以形成第四圖案。第四圖案包括形成在第二遮罩層中的第四開口和形成在半導體結構中的第五開口。然後根據第四圖案執行第二蝕刻製程以形成互連開口,該互連開口包括溝槽開口和通孔開口。
在變化實施例中,可以通過蝕刻製程去除第一遮罩層。蝕刻製程進一步蝕刻第二開口和第三開口的側壁。在一些實施例中,第二開口的臨界尺寸(CD)大於第一開口的CD和第三開口的CD。
在實施例中,第三開口可具有錐形輪廓。在執行第二修整製程以使第二遮罩層凹陷之後,第四開口的臨界尺寸大於第二開口的臨界尺寸。
半導體結構還可包括設置在中心軸上的待連接區域、形成在待連接區域上方的阻障層、以及形成在阻障層上方的複數個介電質層。
在一些實施例中,在第二蝕刻製程期間,蝕刻半導體結構中的未被第二遮罩覆蓋的暴露區域以形成具有頂部臨界尺寸的溝槽開口。另通過第二蝕刻製程蝕刻第五開口的側壁和底部,以形成暴露待連接區域的通孔開口。通孔開口的底部臨界尺寸小於頂部臨界尺寸。
根據本公開的另一方面,提供了一種用於製造半導體元件的方法,其中遮罩疊層形成在半導體結構上方。遮罩疊層包括形成在抗蝕劑層中的第一圖案、形成在抗蝕劑層下方的第一遮罩層、以及形成在第一遮罩層和半導體結構之間的第二遮罩層。然後執行第一蝕刻製程。第一蝕刻製程根據第一圖案蝕刻半導體結構,以將第一圖案轉移到第一遮罩層、第二遮罩層和半導體結構中,從而形成第二圖案。第二圖案具有延伸到半導體結構中的側壁。
在所公開的方法中,可以隨後執行第一修整製程,所述第一修整製程去除抗蝕劑層和第二遮罩層的一部分以形成第三圖案。第三圖案具有第一開口、第二開口和第三開口,第一開口具有形成在第一遮罩層中的第一側壁,第二開口具有形成在第二遮罩層中的第二側壁,第三開口具有形成在半導體結構中的第三側壁。此外,相應第一開口的第一側壁、相應第二開口的第二側壁和相應第三開口的第三側壁圍繞中心軸形成,並且第二開口的第二側壁的位置分別比第一開口的第一側壁和第三開口的第三側壁都更遠離中心軸。
此外,去除第一遮罩層並執行第二修整製程以使第二遮罩凹陷,從而形成第四圖案。第四圖案包括形成在第二遮罩層中的第四開口和延伸到半導體結構中的第五開口。隨後根據第四圖案執行第二蝕刻製程,以將第四圖案轉移到半導體結構中,從而形成互連開口。互連開口包括溝槽開口和通孔開口。
根據本公開的又一方面,可以提供一種用於製造半導體元件的方法,其中形成半導體結構。半導體結構包括設置在中心軸上的待連接區域、形成在待連接區域上方的阻障層、以及形成在阻障層上方的複數個介電質層。在半導體結構上方進一步形成遮罩疊層。遮罩疊層包括第一遮罩層和設置在第一遮罩層和半導體結構之間的第二遮罩層。隨後在遮罩疊層中形成第一圖案。第一圖案包括:第一開口,其具有形成在第一遮罩層中的第一側壁;第二開口,其具有形成在第二遮罩層中的第二側壁;以及第三開口,其具有形成在半導體結構的複數個介電質層中的第三側壁和設置在阻障層上方的底部。相應第一開口的第一側壁、相應第二開口的第二側壁和相應第三開口的第三側壁圍繞中心軸設置,並且第二開口的臨界尺寸分別大於第一開口和第三開口的臨界尺寸。
以下公開提供了用於實現所提供主題的不同特徵的許多不同實施例或示例。以下描述部件和設置的具體示例以簡化本公開。當然,這些僅僅是示例,而並非限制性的。例如,在隨後的描述中在第二特徵上方或第二特徵上形成第一特徵可以包括其中第一和第二特徵是可以以直接接觸而形成的特徵的實施例,並且還可以包括其中可以在第一和第二特徵之間形成附加特徵使得第一和第二特徵可以不直接接觸的實施例。另外,本公開可以在各種示例中重複附圖標記及/或字母。該重複是為了簡單和清楚的目的,並且其本身並不表示所討論的各種實施例及/或配置之間的關係。
此外,諸如「在……之下」、「在……下方」、「下部」、「在……之上」、「上部」等空間相關術語在本文中為了描述方便可以用於描述一個元件或特徵與另一個或複數個元件或特徵的關係,如在附圖中示出的。空間相關術語旨在涵蓋除了在附圖所描繪的取向之外的在設備使用或操作中的不同取向。裝置可以以另外的方式被定向(旋轉90度或在其它取向),並且本文中使用的空間相關描述詞可以類似地被相應解釋。
本公開的各方面提供了用於形成鑲嵌結構的遮罩輪廓的技術。該技術包括兩個修整製程,例如受保護的修整製程和常規修整製程。受保護的修整製程在橫向方向上修整遮罩層,其中垂直方向由保護層防止修整,並且常規修整製程在橫向方向和垂直方向(具有相同或不同的修整速率)上修整遮罩層。與僅使用常規修整製程的相關示例相比,兩個修整製程可以在橫向方向上增加雙鑲嵌結構的頂部開口而不使用厚遮罩層。因此,兩個修整製程的技術可用於降低雙鑲嵌結構的電阻而不消耗過多的遮罩層材料。
圖1A至1D示出了使用常規修整製程製造諸如雙鑲嵌結構的結構的相關示例的中間步驟的截面圖。圖1A示出了半導體結構100的截面圖,該半導體結構100具有形成在第一介電質層118中的待連接區域120。待連接區域120可以是觸點、閘極、源極區域、汲極區域、下層金屬線結構等。如圖所示,在待連接區域120上方形成阻障層116。另外,在阻障層116上方形成第二介電質層114,在第二介電質層114上方形成第三介電質層110,以及第四介電質層108形成在第三介電質層110上方。當然,半導體結構100僅是示例,並且該結構可以具有其他膜層或部件,例如附加觸點、介電質層等。
如圖1A所示,在半導體結構100上方形成遮罩疊層101。遮罩疊層101包括諸如非晶碳層的遮罩層106、諸如SiON層的介電質抗反射塗層(DARC)104、以及光致抗蝕劑層102。在其他實施例中,附加層也可以包括在遮罩疊層101中。例如,底部抗反射塗層(BARC)可以位於光致抗蝕劑層102和DARC 104之間。
圖案122可以形成在光致抗蝕劑層102中。圖案122可以根據任何合適的技術來形成,例如微影製程(例如,微影或電子束微影),其可以進一步包括光致抗蝕劑塗層(例如,旋塗)、軟烘烤、遮罩對準、曝光、曝光後烘烤、光致抗蝕劑顯影、漂洗、乾燥(例如,旋轉乾燥及/或硬烘烤)等。
圖1B示出了通孔蝕刻製程,其可以將圖案122轉移到碳層(遮罩層)106中,並進一步轉移到第四介電質層108、第三介電質層110和第二介電質層114中,以形成開口124。開口124可以具有形成在碳層106中的第一子開口124a、以及形成在半導體結構100中的第二子開口124b。第一子開口124a可以具有側壁124a’,並且第二子開口124b可以具有側壁124b’。第一子開口124a和第二子開口124b可以圍繞中心軸A-A’設置。應注意,DARC 104可在通孔蝕刻製程之後被消耗。
圖1C示出了可以應用於使碳層106凹陷的修整製程,其中碳層106可以沿垂直於第四介電質層108的頂表面108’的垂直方向和平行於第四介電質層108的頂表面108’的水平方向凹陷。因此,形成在碳層106中的第一子開口124a可以沿水平方向擴展,以暴露第四介電質層108的一部分。相比圖1B和圖1C,在修整製程之後,第一子開口124a的側壁124a’的位置更遠離中心軸A-A’。另外,碳層106的厚度也隨著修整製程沿垂直方向而減小。在一些實施例中,修整製程可以是通過使用諸如O2 、N2 、H2 和Ar的氣體的電漿處理。因此,第二子開口124b不受修整製程的影響。如圖1B和1C所示,第二子開口124b的側壁124b’保持在距中心軸A-A’相同的位置。
在圖1D中,可以執行溝槽蝕刻製程來蝕刻半導體結構100。在溝槽蝕刻製程之後,可以形成包括溝槽開口125a和通孔開口125b的雙鑲嵌開口125。溝槽蝕刻製程去除由第一子開口124a暴露的第四介電質層108、第三介電質層110和第二介電質層114的部分,以形成溝槽開口125a。溝槽開口125a可具有等於寬度D1的頂部CD。溝槽蝕刻製程還可以沿垂直方向和水平方向擴展第二子開口124b,以形成通孔開口125b。如圖1D所示,在溝槽蝕刻製程之後,第二子開口124b可以延伸穿過第二介電質層114和阻障層116,以暴露待連接區域120。子開口124b也可以在第二介電質層114中沿水平方向擴展。通孔開口125b可具有等於寬度D2的底部CD,寬度D2小於頂部CD的寬度D1。雙鑲嵌開口125可以填充在導電材料中,以在後續製程中形成雙鑲嵌結構。
如上所述,在一些實施例中,需要在雙鑲嵌結構的頂部CD和底部CD之間存在足夠的CD差。溝槽開口的頂部CD需要滿足第一目標值(例如,大於第一目標值)以減小雙鑲嵌結構的電阻,並且通孔開口的底部CD被控制在第二目標值內,以防止通孔和相鄰的待連接區域之間的電性短路或防止通孔從下面的待連接區域(例如待連接區域120)脫落。為了獲得溝槽開口所需的頂部CD,上面在圖1中描述的修整製程需要使碳層106水平地凹陷到目標值,以便提供下面的層(即,第四介電質層108、第三介電質層110)的充分曝光。然而,碳層也可以透過修整製程垂直地凹陷,這導致碳層的厚度減小。減小的碳層厚度可以減少溝槽蝕刻製程的製程窗口,因為在隨後的蝕刻製程期間碳層可能無法為未暴露的半導體結構提供足夠的保護。
圖2至圖8是根據本公開的方面的製造雙鑲嵌結構的各種中間步驟的截面圖。圖2示出了半導體結構200,其具有與半導體結構100類似的配置。如圖所示,半導體結構200具有形成在第一介電質層218中的待連接區域220、形成在第一介電質層218和待連接區域220上方的阻障層216、位於阻障層216上方的第二介電質層214、形成在第二介電質層214上方的第三介電質層210、以及形成在第三介電質層210上方的第四介電質層208。當然,圖2中所示的結構僅僅是示例,並且半導體結構200可以包括附加層或附加部件。例如,半導體結構200可以包括其他接觸結構、閘極區域、源極區域、汲極區域等。半導體結構200還可以包括基於技術要求的其他膜層。
遮罩疊層201設置在半導體結構200的第四介電質層208上方。類似於圖1,遮罩疊層201可包括諸如碳層的第一遮罩層206、諸如DARC層的第二遮罩層204、以及光致抗蝕劑層202。第一圖案222根據諸如微影製程的任何合適的技術形成在光致抗蝕劑層202中。當然,圖2僅僅是示例,並且遮罩疊層可以包括附加層,例如底部抗反射塗層(BARC)、硬遮罩層等。
在圖2的示例性實施例中,待連接區域220可以是由Cu製成的下層金屬線結構。需要說明的是,待連接區域220可以是其他合適的導電區,例如源極接觸結構、汲極接觸結構、閘極接觸結構等。阻障層216可以是厚度從400Å(埃)到600Å的TiN。第二介電質層214可以是厚度從2500Å至5000Å的SiO層。第三介電質層210可以是厚度從700Å至1000Å的氮摻雜碳化物(nitrogen doped carbide,NDC)層。第四介電質層208可以是厚度從700Å至1000Å的SiO層。碳層206的厚度範圍可以從3000Å到5000Å。DARC層204可以具有從300Å至500Å的厚度,並且光致抗蝕劑層202可以具有從2500Å至3500Å的厚度。另外,基於電路設計,第一圖案222可具有50nm(奈米)與200nm之間的CD。
在圖3中,可以執行第一蝕刻製程。第一蝕刻製程可以根據第一圖案222蝕刻半導體結構200和遮罩疊層201,以將第一圖案222轉移到DARC層204、碳層(第一遮罩層)206中,並進一步延伸到半導體結構200中以形成圍繞中心軸B-B’定位的第二圖案224。例如,如圖2所示,第二圖案224可以穿過第四介電質層208、第三介電質層210,並延伸到第二介電質層214中。第二圖案224可以具有側壁224a和延伸到第二介電質層214中並定位在阻障層216上方的底部224b。如圖所示,待連接區域220也可以位於中心軸B-B’上。
在一些實施例中,第一蝕刻製程可使用蝕刻氣體,例如O2 、CF4 、CHF3 等。第一蝕刻製程可以在15℃至60℃的溫度、20Torr(托)與80Torr之間的壓力、600W(瓦)與1000W之間的源功率、以及0W與400W之間的偏功率下操作。第一蝕刻製程可以是電感耦合電漿(ICP)蝕刻、電容耦合電漿(CCP)蝕刻、反應離子蝕刻(RIE)等。在一些實施例中,第二圖案224可以具有1000nm至3000nm之間的深度T1,在第二圖案224的底部224b處具有範圍從70nm至110nm的臨界尺寸CD1,並且在第四介電質層208中具有100nm與140nm之間的臨界尺寸CD0。
在圖4A中,可以執行第一修整製程步驟。第一修整製程步驟可以去除光致抗蝕劑層202,並且進一步在橫向方向(例如,平行於晶片表面的水平方向)上凹陷或底切碳層206的一部分以形成第三圖案226。第三圖案226可包括形成在DARC層204中的第一開口226a、形成在碳層206中的第二開口226b、以及形成在半導體結構中並具有錐形輪廓的第三開口226c。如圖4A所示,第三開口226c可以穿過第四介電質層208、第三介電質層210、並延伸到第二介電質層214中以形成底部226c”。底部226c”可以以距離T2的深度定位在阻障層216上方。
仍然參考圖4A,第一開口226a可具有第一側壁226a’,第二開口226b可具有第二側壁226b’,並且第三開口226c可具有第三側壁226c’。如圖所示,第二開口226b的第二側壁226b’的位置比第一開口226a的第一側壁226a’和第三開口226c的第三側壁226c’更遠離中心軸B-B’。在一些實施例中,第一開口226a可具有130nm與170nm之間的臨界尺寸CD3。第二開口226b可具有在200nm與240nm之間的臨界尺寸CD2。第三開口226c可以具有與第二圖案224中的位於半導體結構200中的部分相同的尺寸。因此,第四介電質層208處的第三開口226c的CD可以等於臨界尺寸CD0,並且底部226c”處的第三個開口的CD可以等於臨界尺寸CD1。
圖4B是在第一修整製程之後的半導體結構200的俯視圖。第一開口226a和第二開口226b可具有大致圓形的形狀。如圖4B所示,第二開口226b的第二側壁226b’的位置分別比第一開口和第三開口的第一側壁226a’和第三側壁226c更遠離中心軸B-B’。應該提到的是,雖然示出為大致圓形形狀,但是第一開口226a、第二開口226b和第三開口226c可以具有其他形狀,例如正方形、三角形或基於技術要求的任何其他合適的形狀。
在一些實施例中,第一修整製程可以是通過使用諸如O2 、N2 、H2 、Ar等氣體的電漿處理。第一修整製程可以在10℃和80℃之間的溫度、在60Torr和80Torr之間的壓力、以及在500W和1200W之間的源功率下操作。第一修整製程可以使用與第一蝕刻製程相同的設備操作。
在圖5中,可以執行穿透製程來去除DARC層204。在穿透性製程之後,可以形成圖案227。圖案227可以具有形成在碳層206中的頂部開口227a和形成在半導體結構200中的底部開口227b。頂部開口227a可以具有側壁227a’和等於一臨界尺寸CD4的CD。底部開口227b可以具有側壁227b’和CD等於一臨界尺寸CD5的底部227b”。底部227b”與阻障層216之間的距離是一距離T3。將圖4A的第三圖案226與圖5的圖案227相比較,可以看出,穿透製程可以使第三圖案226中的第二開口226b擴展,以形成頂部開口227a。因此,頂部開口227a的臨界尺寸CD4大於圖4A中所示的第二開口226b的臨界尺寸CD2。穿透製程可以進一步蝕刻第三開口226c的第三側壁226c’和底部226c”,以形成底部開口227b。比較圖4A中所示的第三開口226c和底部開口227b,底部開口227b的底部227b”更深地延伸到第二介電質層214中。因此,距離T3小於圖4A中所示的距離T2。在一些實施例中,距離T3可以小於在400Å到600Å之間的範圍內的距離T2。
在一些實施例中,穿透製程可以是類似於第一蝕刻製程的電漿蝕刻製程。例如,穿透製程可以使用類似的蝕刻氣體、溫度、壓力、源功率、偏功率和設備來進行第一蝕刻製程。然而,與第一蝕刻製程相比,穿透製程具有更短的製程時間。在一些實施例中,穿透製程的製程時間在5秒至30秒的範圍內。
在圖6中,可以執行第二修整製程步驟以使碳層206凹陷以形成第四圖案228。第四圖案228可以具有在碳層206中形成的第四開口228a和在半導體結構200中形成的第五開口228b。在第二修整製程期間,碳層206可以沿水平方向和垂直方向凹陷。因此,圖5中所示的頂部開口227a可以由於碳層206沿水平方向的凹陷而擴展以形成第四開口228a。在第二修整製程之後,可以暴露第四介電質層208的一部分。另外,第四開口228a的一臨界尺寸CD6可以大於圖5中所示的臨界尺寸CD4。
在一些實施例中,碳層206沿垂直方向的凹陷可以減小碳層206的厚度。在一些實施例中,圖5中所示的底部開口227b可以不受第二修整製程的影響,並且第五開口228b可以具有與底部開口227b相同的尺寸。在一些實施例中,根據修整製程,第五開口228b可具有與底部開口227b不同的尺寸。例如,第五開口228b可以具有底部的臨界尺寸CD7,其大於底部開口227b的底部的臨界尺寸CD5。
在一些實施例中,第二修整製程可以在與第一修整製程類似的製程條件下操作。例如,第二修整製程可以通過氧電漿、由N2 /O2 混合氣體產生的電漿、或其他合適的電漿來實現。
在圖7中,可以根據第四圖案228施加第二蝕刻製程,以將第四圖案轉移到半導體結構200中,從而形成互連開口(或雙鑲嵌開口)230。互連開口230可以包括溝槽開口230a和通孔開口230b。在第二蝕刻製程期間,可以去除半導體結構200中的未被碳層206覆蓋的暴露區域的部分,以形成具有頂部的臨界尺寸CD8(頂部臨界尺寸)的溝槽開口230a。例如,如圖7所示,第二蝕刻電漿可以去除第四介電質層208、第三介電質層210的暴露區域,並且還去除第二介電質層214的暴露區域的一部分以形成溝槽開口230a。另外,可以通過第二蝕刻製程蝕刻第五開口228b的側壁228b’和底部228b”,以形成暴露待連接區域220並具有底部的臨界尺寸CD9(底部臨界尺寸)的通孔開口230b。在第二蝕刻製程之後,通孔開口230b的側壁230b’的位置可以比第五開口228b的側壁228b’更遠離中心軸B-B’。
在一些實施例中,溝槽開口的頂部的臨界尺寸CD8可以在200nm至400nm的範圍內。通孔開口的底部的臨界尺寸CD9可以在60nm至150nm的範圍內。通孔開口的深度T4可以在1000Å至2000Å的範圍內。第二蝕刻製程可以應用包括CF4 、CHF4 、CH2 F2 、Ar、N2 或其他合適的蝕刻氣體的蝕刻氣體。第二蝕刻製程可以在15℃至60℃的溫度、20Torr與80Torr之間的壓力、600W與1000W之間的源功率、以及0W與400W之間的偏功率下操作。第二蝕刻製程可以是電感耦合電漿(ICP)蝕刻、電容耦合電漿(CCP)蝕刻、反應離子蝕刻(RIE)等。
在圖8中,圖7中所示的互連開口230隨後可以通過導電阻障層232(例如Ta層、TiN層、TaN等)來覆蓋,然後通過諸如電鍍製程、CVD製程、PVD製程、濺射製程或其他合適的沉積製程的製程來填充導電層234,例如Cu、W、Ru等。可以應用諸如CMP製程的表面平坦化以去除第四介電質層208上方的過量導電層以形成互連結構236。
圖8示出了在半導體結構200中形成的示例性互連結構236。互連結構236具有雙鑲嵌結構,其包括導電阻障層232和導電層234。導電層234填充溝槽開口230a以形成導電溝槽236a,並填充通孔開口230b以形成導電通孔236b。互連結構236通過導電通孔236b電性連接到待連接區域220。
圖9是根據本公開的實施例的用於製造3D-NAND結構的示例性過程900的流程圖。
過程900開始於步驟910,其中在半導體結構上方形成遮罩疊層。如上所述,遮罩疊層可包括形成在抗蝕劑層中的第一圖案、形成在抗蝕劑層下方的第一遮罩層、以及設置在第一遮罩層和半導體結構之間的第二遮罩層。半導體結構可以包括待連接區域、形成在待連接區域上方的阻障層、以及形成在阻障層上方的複數個介電質層。在一些實施例中,第一遮罩層可以是DARC層,並且第二遮罩層可以是碳層。在一些實施例中,可以如參考圖2所示來執行步驟910。
然後,過程900進行到步驟920,其中可以執行第一蝕刻製程。第一蝕刻製程可以根據第一圖案蝕刻半導體結構,以將第一圖案轉移到第一遮罩層、第二遮罩層和半導體結構的一部分中,從而形成第二圖案。第二圖案具有側壁和延伸到半導體結構中的底部。在一些實施例中,可以如參考圖3所示來執行步驟920。
在過程900的步驟930中,執行第一修整製程。第一修整製程可以去除抗蝕劑層,並且進一步凹陷或底切第二遮罩層的一部分以形成第三圖案。第三圖案包括形成在第一遮罩層中的第一開口、形成在第二遮罩層中的第二開口、以及形成在半導體結構中的第三開口。第一開口具有第一側壁,第二開口具有第二側壁,並且第三開口具有第三側壁。相應開口的第一、第二和第三側壁圍繞中心軸形成,並且第二開口的第二側壁的位置分別比第一和第三開口的第一和第三側壁更遠離中心軸。在一些實施例中,可以如參考圖4所示執行步驟930。
然後,過程900進行到步驟940,其中通過穿透製程去除第一遮罩層。穿透製程可以是短時間段內的電漿處理。此外,可以執行第二修整製程來使第二遮罩凹陷以形成第四圖案。第四圖案包括形成在第二遮罩層中的第四開口和延伸到半導體結構中的第五開口。在一些實施例中,可以如參考圖5和圖6所示來執行步驟940。
在過程900的步驟950中,可以根據第四圖案執行第二蝕刻製程,以將第四圖案轉移到半導體結構中,以便形成互連開口。互連開口可以包括溝槽開口和通孔開口,以暴露待連接區域。此外,互連開口隨後可以被導電阻障層(例如Ta層)覆蓋,然後通過電鍍製程填充導電層,例如Cu。可以應用諸如CMP製程的表面平坦化以去除半導體結構的頂表面上方的過量導電層,以在半導體結構中形成互連結構。在一些實施例中,可以如參考圖7和圖8所示執行步驟950。
應當注意,可以在過程900之前、期間和之後提供附加的步驟,並且對於過程900的附加實施例,可以以不同的順序替換、消除或執行所描述的一些步驟。在隨後的製程步驟中,在半導體結構200上方可以形成各種附加互連結構(例如,具有導線及/或通孔的金屬化層)。這種互連結構將半導體結構200與其他接觸結構及/或主動元件電連接以形成功能電路。還可以形成諸如鈍化層、輸入/輸出結構等的附加元件特徵。
與相關示例相比,本文描述的各種實施例提供了若干優點。例如,為了形成在與溝槽開口相關聯的頂部CD和與互連結構的通孔開口相關聯的底部CD之間具有足夠CD差的互連結構,相關示例具有製程限制,例如遮罩保護不足,或與乾蝕刻或微影相關的不足夠的製程窗口。在本公開中,可以在半導體結構上方形成第一遮罩層,並且可以在第一遮罩層和半導體結構之間設置第二遮罩層。可以形成圖案,該圖案包括形成在第一遮罩層中的第一開口、形成在第二遮罩層中的第二開口、以及形成在半導體中的第三開口。可以執行修整製程以通過使用第一遮罩層作為保護層來擴展第二遮罩層中的第二開口。因此,可以在第二遮罩層中獲得擴展開口,同時由於第一遮罩層的保護而保持第二遮罩層的高度。隨後通過執行蝕刻製程將擴展的開口轉移到半導體結構中以形成具有所需CD的溝槽開口。另外,在蝕刻製程期間,第二遮罩層的保持高度可以提供足夠的高度。
前述概述了若干實施例的特徵,使得本領域技術人員可以更好地理解本公開的各方面。本領域技術人員應當理解,他們可以容易地使用本公開作為設計或修改其他製程和結構的基礎,以實現相同的目的及/或實現本文介紹的實施例的相同優點。本領域技術人員還應該認識到,這樣的等同構造不脫離本公開的精神和範圍,並且在不脫離本公開的精神和範圍的情況下,它們可以在本文中進行各種改變、替換和變更。
100、200:半導體結構 101、201:遮罩疊層 102、202:光致抗蝕劑層 104:介電質抗反射塗層 106:遮罩層、碳層 108、208:第四介電質層 108’:頂表面 110、210:第三介電質層 114、214:第二介電質層 116、216:阻障層 118、218:第一介電質層 120、220:待連接區域 122、227:圖案 124:開口 1241、1242、224a、227a’、227b’、228b’、230b’:側壁 124a:第一子開口 124b:第二子開口 125:雙鑲嵌開口 125a、230a:溝槽開口 125b、230b:通孔開口 204:第二遮罩層 206:第一遮罩層、碳層 222:第一圖案 224:第二圖案 224b、226c”、227b”、228b”:底部 226:第三圖案 226a:第一開口 226a’:第一側壁 226b:第二開口 226b’:第二側壁 226c:第三開口 226c’:第三側壁 227a:頂部開口 227b:底部開口 228:第四圖案 228a:第四開口 228b:第五開口 230:互連開口 232:導電阻障層 234:導電層 236:互連結構 236a:導電溝槽 236b:導電通孔 900:過程 910、920、930、940、950:步驟 A-A’、B-B’:中心軸 CD0、CD1、CD2、CD3、CD4、CD5、CD6、CD7、CD8、CD9:臨界尺寸 D1、D2:寬度 T1、T4:深度 T2、T3:距離
當結合附圖閱讀時,從以下詳細描述中可以最好地理解本公開的各方面。應注意,根據領域中的標準做法,各種特徵未按比例繪製。實際上,為了清楚討論,可以任意增加或減少各種特徵的尺寸。 圖1A至1D是相關示例,其示出了製造諸如雙鑲嵌結構的結構的中間步驟的各種截面視圖。 圖2至圖8是根據本公開的示例性實施例的在所公開的方法中製造互連結構(諸如雙鑲嵌結構)的各種中間步驟的截面及俯視圖。 圖9是根據本公開的實施例的用於製造互連結構的示例性過程的流程圖。
200:半導體結構
208:第四介電質層
210:第三介電質層
214:第二介電質層
216:阻障層
218:第一介電質層
220:待連接區域
204:第二遮罩層
206:第一遮罩層、碳層
226c”:底部
226:第三圖案
226a:第一開口
226a’:第一側壁
226b:第二開口
226b’:第二側壁
226c:第三開口
226c’:第三側壁
B-B’:中心軸
CD0、CD1、CD2、CD3:臨界尺寸
T2:距離

Claims (20)

  1. 一種用於製造半導體元件的方法,所述方法包括: 在半導體結構上方形成遮罩疊層,所述遮罩疊層包括第一遮罩層和設置在所述第一遮罩層和所述半導體結構之間的第二遮罩層;以及 圖案化所述遮罩疊層中的第一圖案,所述第一圖案包括具有形成在所述第一遮罩層中的第一側壁的第一開口、具有形成在所述第二遮罩層中的第二側壁的第二開口、以及具有形成在所述半導體結構中的第三側壁的第三開口,其中相應所述第一開口的所述第一側壁、相應所述第二開口的所述第二側壁和相應所述第三開口的所述第三側壁圍繞中心軸形成,並且所述第二開口的所述第二側壁的位置分別比所述第一開口的所述第一側壁和所述第三開口的所述第三側壁更遠離所述中心軸。
  2. 根據請求項1所述的方法,其中,所述遮罩疊層還包括形成在所述第一遮罩層上方的抗蝕劑層,並且圖案化所述遮罩疊層以形成所述第一圖案另包括: 在所述抗蝕劑層中圖案化第二圖案; 執行第一蝕刻製程,所述第一蝕刻製程將所述第二圖案轉移到所述第一遮罩層、所述第二遮罩層和所述半導體結構中,並形成延伸到所述半導體結構中的第三圖案;以及 執行第一修整製程以去除所述抗蝕劑層和所述第二遮罩層的一部分,以形成所述第一圖案的所述第二開口。
  3. 根據請求項2所述的方法,另包括: 去除所述第一遮罩層並執行第二修整製程以使所述第二遮罩層凹陷,從而形成第四圖案,所述第四圖案包括形成在所述第二遮罩層中的第四開口和形成在所述半導體結構中的第五開口;以及 根據所述第四圖案執行第二蝕刻製程,以形成包括溝槽開口和通孔開口的互連開口。
  4. 根據請求項3所述的方法,另包括: 通過另一蝕刻製程去除所述第一遮罩層,所述另一蝕刻製程還蝕刻所述第二開口和所述第三開口的側壁。
  5. 根據請求項1所述的方法,其中所述第二開口的臨界尺寸(CD)大於所述第一開口的CD和所述第三開口的CD。
  6. 根據請求項1所述的方法,其中所述第三開口具有錐形輪廓。
  7. 根據請求項3所述的方法,其中在執行所述第二修整製程以使所述第二遮罩層凹陷之後,所述第四開口的臨界尺寸大於所述第二開口的臨界尺寸。
  8. 根據請求項3所述的方法,其中,所述半導體結構另包括:設置在所述中心軸上的待連接區域、形成在所述待連接區域上方的阻障層、以及形成在所述阻障層上方的複數個介電質層。
  9. 根據請求項8所述的方法,其中,執行所述第二蝕刻製程另包括: 蝕刻所述半導體結構的未被所述第二遮罩層覆蓋的暴露區域,以形成具有頂部臨界尺寸的所述溝槽開口;以及 蝕刻所述第五開口的側壁和底部以形成所述通孔開口,所述通孔開口暴露所述待連接區域並且具有小於所述頂部臨界尺寸的底部臨界尺寸。
  10. 一種用於製造半導體元件的方法,所述方法包括: 在半導體結構上方形成遮罩疊層,所述遮罩疊層包括形成在抗蝕劑層中的第一圖案、形成在所述抗蝕劑層下方的第一遮罩層、以及形成在所述第一遮罩層和所述半導體結構之間的第二遮罩層; 執行第一蝕刻製程,所述第一蝕刻製程根據所述第一圖案蝕刻所述半導體結構,以將所述第一圖案轉移到所述第一遮罩層、所述第二遮罩層和所述半導體結構中,以形成第二圖案,所述第二圖案具有延伸到所述半導體結構中的側壁; 執行第一修整製程,所述第一修整製程去除所述抗蝕劑層和所述第二遮罩層的一部分以形成第三圖案,其中所述第三圖案具有第一開口、第二開口以及第三開口,所述第一開口具有形成在所述第一遮罩層中的第一側壁,所述第二開口具有形成在所述第二遮罩層中的第二側壁,所述第三開口具有形成在所述半導體結構中的第三側壁,其中相應所述第一開口的所述第一側壁、相應所述第二開口的所述第二側壁和相應所述第三開口的所述第三側壁圍繞中心軸形成,並且所述第二開口的第二側壁的位置分別比所述第一開口的第一側壁和第三開口的第三側壁更遠離所述中心軸; 去除所述第一遮罩層並執行第二修整製程以使所述第二遮罩層凹陷,從而形成第四圖案,所述第四圖案包括形成在所述第二遮罩層中的第四開口和延伸到所述半導體結構中的第五開口;以及 根據所述第四圖案執行第二蝕刻製程以將所述第四圖案轉移到所述半導體結構中,從而形成互連開口,所述互連開口包括溝槽開口和通孔開口。
  11. 根據請求項10所述的方法,其中所述第二開口的臨界尺寸(CD)大於所述第一開口的CD和所述第三開口的CD。
  12. 根據請求項10所述的方法,其中所述第四開口的臨界尺寸(CD)大於所述第五開口的CD。
  13. 根據請求項10所述的方法,其中在執行所述第二修整製程以使所述第二遮罩層凹陷之後,所述第四開口的臨界尺寸大於所述第二開口的臨界尺寸。
  14. 根據請求項10所述的方法,其中,去除所述第一遮罩層包括: 通過另一蝕刻製程去除所述第一遮罩層,所述另一蝕刻製程還蝕刻所述第二開口和所述第三開口的側壁。
  15. 根據請求項10所述的方法,其中,所述半導體結構包括:設置在所述中心軸上的待連接區域、形成在所述待連接區域上方的阻障層、以及形成在所述阻障層上方的複數個介電質層。
  16. 根據請求項15所述的方法,其中,執行所述第二蝕刻製程另包括: 蝕刻所述半導體結構的未被所述第二遮罩層覆蓋的暴露區域,以形成具有頂部臨界尺寸的所述溝槽開口;以及 蝕刻所述第五開口的側壁和底部以形成所述通孔開口,所述通孔開口暴露所述待連接區域並且具有小於所述頂部臨界尺寸的底部臨界尺寸。
  17. 根據請求項10所述的方法,其中所述第三開口具有錐形輪廓。
  18. 一種用於製造半導體元件的方法,所述方法包括: 形成半導體結構,所述半導體結構包括設置在中心軸上的待連接區域、形成在所述待連接區域上方的阻障層、以及形成在所述阻障層上方的複數個介電質層; 在所述半導體結構上方形成遮罩疊層,所述遮罩疊層包括第一遮罩層和設置在所述第一遮罩層和所述半導體結構之間的第二遮罩層;以及 圖案化所述遮罩疊層中的第一圖案,所述第一圖案包括第一開口、第二開口以及第三開口,所述第一開口具有形成在所述第一遮罩層中的第一側壁,所述第二開口具有形成在所述第二遮罩層中的第二側壁,所述第三開口具有形成在所述半導體結構的所述複數個介電質層中的第三側壁和設置在所述阻障層上方的底部,其中相應所述第一開口的所述第一側壁、相應所述第二開口的所述第二側壁和相應所述第三開口的所述第三側壁圍繞所述中心軸設置,並且所述第二開口的臨界尺寸分別大於所述第一開口的臨界尺寸和所述第三開口的臨界尺寸。
  19. 根據請求項18所述的方法,其中,所述遮罩疊層另包括形成在所述第一遮罩層上方的抗蝕劑層,並且圖案化所述遮罩疊層以形成所述第一圖案另包括: 在所述抗蝕劑層中圖案化第二圖案; 執行第一蝕刻製程,所述第一蝕刻製程將所述第二圖案轉移到所述第一遮罩層、所述第二遮罩層和所述半導體結構中,並形成第三圖案,所述第三圖案具有延伸到所述半導體結構的所述複數個介電質層中的側壁和設置在所述阻障層上方的底部;以及 執行第一修整製程以去除所述抗蝕劑層和所述第二遮罩層的一部分,以形成所述第一圖案的所述第二開口。
  20. 根據請求項19所述的方法,另包括: 去除所述第一遮罩層並執行第二修整製程以使所述第二遮罩層凹陷,從而形成第四圖案,所述第四圖案包括形成在所述第二遮罩層中的第四開口和形成在所述半導體結構中的第五開口;以及 根據所述第四圖案執行第二蝕刻製程以形成包括溝槽開口和通孔開口的互連開口,其中,所述溝槽開口形成在所述複數個介電質層中,所述通孔開口設置在所述溝槽開口下方,並且還暴露所述待連接區域。
TW108147389A 2019-06-27 2019-12-24 用於製造半導體元件的方法 TWI729651B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
WOPCT/CN2019/093170 2019-06-27
PCT/CN2019/093170 WO2020258124A1 (en) 2019-06-27 2019-06-27 Interconnect structure and method of forming the same

Publications (2)

Publication Number Publication Date
TW202101559A true TW202101559A (zh) 2021-01-01
TWI729651B TWI729651B (zh) 2021-06-01

Family

ID=68544795

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108147389A TWI729651B (zh) 2019-06-27 2019-12-24 用於製造半導體元件的方法

Country Status (4)

Country Link
US (1) US11018052B2 (zh)
CN (2) CN110494971B (zh)
TW (1) TWI729651B (zh)
WO (1) WO2020258124A1 (zh)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN113161284A (zh) * 2020-01-07 2021-07-23 台湾积体电路制造股份有限公司 用于制造互连结构的方法
US11450565B2 (en) * 2020-03-30 2022-09-20 Taiwan Semiconductor Manufacturing Co., Ltd. Ion implant process for defect elimination in metal layer planarization
CN112289805A (zh) * 2020-10-29 2021-01-29 长江存储科技有限责任公司 凹槽结构的制作方法、三维nand存储器及其制作方法
US20220336732A1 (en) * 2021-04-15 2022-10-20 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating magneto-resistive random access memory (mram)

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10116901A (ja) * 1996-10-11 1998-05-06 Sony Corp 半導体装置及びその製造方法
US6077733A (en) 1999-09-03 2000-06-20 Taiwan Semiconductor Manufacturing Company Method of manufacturing self-aligned T-shaped gate through dual damascene
JP3669681B2 (ja) * 2000-03-31 2005-07-13 株式会社東芝 半導体装置の製造方法
JP2002026122A (ja) * 2000-07-04 2002-01-25 Sony Corp 半導体装置の製造方法
TW471126B (en) 2000-08-30 2002-01-01 Taiwan Semiconductor Mfg Manufacturing method for dual damascene of copper connection
US6630407B2 (en) * 2001-03-30 2003-10-07 Lam Research Corporation Plasma etching of organic antireflective coating
US20020177321A1 (en) * 2001-03-30 2002-11-28 Li Si Yi Plasma etching of silicon carbide
US6787452B2 (en) 2002-11-08 2004-09-07 Chartered Semiconductor Manufacturing Ltd. Use of amorphous carbon as a removable ARC material for dual damascene fabrication
US7129159B2 (en) 2004-08-17 2006-10-31 International Business Machines Corporation Integrated dual damascene RIE process with organic patterning layer
DE102004042169B4 (de) * 2004-08-31 2009-08-20 Advanced Micro Devices, Inc., Sunnyvale Technik zur Erhöhung des Füllvermögens in einem elektrochemischen Abscheideprozess durch Verrundung der Kanten und Gräben
US7828987B2 (en) 2006-03-20 2010-11-09 Applied Materials, Inc. Organic BARC etch process capable of use in the formation of low K dual damascene integrated circuits
US20090075480A1 (en) 2007-09-18 2009-03-19 Texas Instruments Incorporated Silicon Carbide Doped Oxide Hardmask For Single and Dual Damascene Integration
JP2011249585A (ja) * 2010-05-27 2011-12-08 Elpida Memory Inc 半導体装置の製造方法
US9437484B2 (en) 2014-10-17 2016-09-06 Taiwan Semiconductor Manufacturing Company, Ltd. Etch stop layer in integrated circuits
US9418886B1 (en) 2015-07-24 2016-08-16 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming conductive features
US9799558B2 (en) * 2015-11-16 2017-10-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming conductive structure in semiconductor structure
CN107689319B (zh) * 2016-08-04 2020-06-05 中芯国际集成电路制造(北京)有限公司 半导体装置及其制造方法
US10515817B2 (en) * 2017-09-29 2019-12-24 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming features of semiconductor structure having reduced end-to-end spacing
US10784151B2 (en) * 2018-09-11 2020-09-22 Taiwan Semiconductor Manufacturing Company Ltd. Interconnect structure and manufacturing method for the same
CN109545674B (zh) * 2018-11-09 2020-08-21 长江存储科技有限责任公司 半导体器件的形成方法及半导体器件
CN109585364B (zh) * 2018-11-30 2020-10-27 上海华力微电子有限公司 一种双大马士革结构的形成方法
WO2020140202A1 (en) * 2019-01-02 2020-07-09 Yangtze Memory Technologies Co., Ltd. Method for forming dual damascene interconnect structure

Also Published As

Publication number Publication date
TWI729651B (zh) 2021-06-01
CN111627855B (zh) 2021-05-25
US11018052B2 (en) 2021-05-25
WO2020258124A1 (en) 2020-12-30
CN110494971A (zh) 2019-11-22
US20200411369A1 (en) 2020-12-31
CN111627855A (zh) 2020-09-04
CN110494971B (zh) 2020-06-26

Similar Documents

Publication Publication Date Title
TWI729651B (zh) 用於製造半導體元件的方法
US9099530B2 (en) Methods of patterning small via pitch dimensions
US6627557B2 (en) Semiconductor device and method for manufacturing the same
TW201814790A (zh) 方向性的圖案化方法
US7687407B2 (en) Method for reducing line edge roughness for conductive features
KR100673196B1 (ko) 플래쉬 메모리 소자의 금속배선 및 콘택플러그 형성방법
CN111564410A (zh) 一种提高后段金属线通孔的工艺窗口的方法
KR100669560B1 (ko) 반도체 소자의 도전 배선 형성 방법
US9287162B2 (en) Forming vias and trenches for self-aligned contacts in a semiconductor structure
US6706611B2 (en) Method for patterning a dual damascene with retrograde implantation
KR100987871B1 (ko) 반도체 소자의 금속 배선 형성 방법
KR100976663B1 (ko) 반도체 소자의 패턴 형성 방법
KR100734083B1 (ko) 반도체 소자의 콘택홀 형성방법
US11626289B2 (en) Semiconductor structure and method for forming the same
US20230136674A1 (en) Self-aligned double patterning (sadp) integration with wide line spacing
KR100294638B1 (ko) 반도체장치의콘택홀형성방법
KR100990933B1 (ko) 반도체 소자의 제조방법
KR100665405B1 (ko) 반도체 소자의 금속 배선 형성 방법
CN115020324A (zh) 双重图形工艺方法
TW202141694A (zh) 半導體結構與其製作方法
CN115064486A (zh) 半导体结构的形成方法及半导体结构
CN112382607A (zh) 铜制程金属沟槽的制作方法
KR100617044B1 (ko) 반도체 소자의 금속배선 형성방법
KR20050067829A (ko) 반도체소자의 인덕터 형성방법
KR20070066435A (ko) 반도체 장치의 제조방법