TW471126B - Manufacturing method for dual damascene of copper connection - Google Patents

Manufacturing method for dual damascene of copper connection Download PDF

Info

Publication number
TW471126B
TW471126B TW89117584A TW89117584A TW471126B TW 471126 B TW471126 B TW 471126B TW 89117584 A TW89117584 A TW 89117584A TW 89117584 A TW89117584 A TW 89117584A TW 471126 B TW471126 B TW 471126B
Authority
TW
Taiwan
Prior art keywords
layer
copper
interlayer dielectric
opening
dielectric layer
Prior art date
Application number
TW89117584A
Other languages
Chinese (zh)
Inventor
Chao-Cheng Chen
Jen-Chen Liu
Jyu-Homg Shieh
Chia-Shiung Tsai
Bor-Shyang Lin
Original Assignee
Taiwan Semiconductor Mfg
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Mfg filed Critical Taiwan Semiconductor Mfg
Priority to TW89117584A priority Critical patent/TW471126B/en
Application granted granted Critical
Publication of TW471126B publication Critical patent/TW471126B/en

Links

Landscapes

  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

An innovative manufacturing method for dual damascene of copper connection which is characterized in that after removing the etch stop layer at the bottom of the via, the plasma processing with the gas containing nitrogen and hydrogen is performed to replace the oxygen portion in the oxide layer on the sidewall of the via. Therefore, during the cleaning within the wet bench, it will be easier to be etched with an acid solution so that the whole profile of the sidewall of the via can be smoother. By the above described method, the undesired effect at the undercut of the via can be greatly reduced.

Description

'五、發明說一'Fifth, the invention said

471T2B 於一 ί Π係有關於一種内連線之製作方法,特別是有關 ; 種雙t入入鋼連線之製造方法。 雙嵌入(double damascene)製程,目前已廣泛岸用於 銅連結線之製作。筮彳A ! n同舶-舱 a刖已贗泛應用 邮八泣p闽·乍第1 A〜1 D圖顯不雙嵌入半導體銅製程之 :刀:广回:其中’ 1為半導體基材,2為銅金屬層,3為 亂.石用以作為銅金屬層2之擴散障礙層(di f fusion 』rr = r和介層洞(via h〇le)底部之蝕刻終止層。在其製 過私中’主要是利用氮化石夕5作為中間I虫刻終止層471T2B Yu Yiyi is about a method of making an internal connection, especially related to; a method of manufacturing a double-t steel connection. The double damascene process has been widely used in the production of copper connection lines.筮 彳 A! N the same ship-cabin a has been widely used in post-pak-chia 1 min. 1 ~ 1 D picture display is not double embedded semiconductor copper process: knife: wide return: of which '1 is a semiconductor substrate , 2 is a copper metal layer, 3 is chaotic. Stone is used as a diffusion barrier layer (di f fusion rr = r and an etching stop layer at the bottom of the via hole) of the copper metal layer 2. "Privilege" mainly uses nitride stone Xi 5 as the intermediate I engraved termination layer

Cet^chmg stop layer),以便讓絕緣層6和絕緣層4㈠列如 ίίΪ層,、USG、FSG ...等)形成具有如第U圖所示之 p白梯^(或τ型)之剖面結構;另外,藉由底部蝕刻終止廣3 /保蒦以避免上述銅金屬層2遭到餘刻污染。開口 a之區 域主要是定義上層導線之圖形或是溝槽(trench);而開口 b之區域則主要定義介層洞,用以將上層導線和基材丨中之 ’’s $屬層2構成連接。完成第丨A圖所示之剖面結構後,利 用乾式或濕式蝕刻法,去除開口 b中之氮化矽層3 (同時去 除開口a#中之氮化矽層5),以露出銅金屬層2,如第ΐβ圖所 不。接著’沈積障礙層(barrier layer) 7,例如TaN層, 如第1C圖所示。接著,進行銅金屬化製程,而完成雙^入 半導體銅製程,如第1D圖所示,符號8代表銅金屬層。 Λ、:而’在使用乾式或濕式触刻法,去除露出於開口匕 中之氮化矽層3 (和開口a中之氮化矽層5),以露出鋼金屬 層2時、,卻會在介層洞底部(即開口b之底部)之氮化矽層3 上形成切口(undercut或notch),如第2圖中所示之cutl和Cet ^ chmg stop layer), so that the insulating layer 6 and the insulating layer 4 are lined up, such as a thin layer, USG, FSG, etc.) to form a section with a p-white ladder ^ (or τ type) as shown in Figure U. In addition, the substrate 3 is terminated by bottom etching to prevent the above-mentioned copper metal layer 2 from being contaminated by the remaining etching. The area of the opening a is mainly to define the pattern or trench of the upper layer wire; and the area of the opening b is mainly to define the via hole, which is used to form the `` s $ of the upper layer wire and the substrate connection. After completing the cross-sectional structure shown in Figure 丨 A, the dry silicon or wet etching method is used to remove the silicon nitride layer 3 in the opening b (while removing the silicon nitride layer 5 in the opening a #) to expose the copper metal layer 2 As shown in Figure ΐβ. Next, a barrier layer 7, such as a TaN layer, is deposited, as shown in FIG. 1C. Next, a copper metallization process is performed to complete the double-process semiconductor copper process. As shown in FIG. 1D, the symbol 8 represents a copper metal layer. Λ ,: and 'when using dry or wet touch engraving to remove the silicon nitride layer 3 (and the silicon nitride layer 5 in the opening a) exposed in the opening dagger to expose the steel metal layer 2, An undercut or notch will be formed on the silicon nitride layer 3 at the bottom of the via hole (ie, the bottom of the opening b), as shown in the cutl and

471126 五、發明說明⑵ " '~" -— cj 12對於"層/同底部(即開口 b之底部)而言,其步階覆 蓋丨生(step coverage)本來就不佳;因此,在沈積障礙層 (TaN) 7時,由於切口cutl (及cut2)部分無法被τ&Ν所附 者及覆蓋.,故對沈積之TaN層而言,容易有斷離 (discontinuous)之情形發生;如第2β圖所示。 形成障礙層7之後,接下來須先將銅晶種〇“(1 copper)形成於TaN層上,才能再使用pvD方式,透過晶種 而進行銅之沈積,以完成品質良好之銅金屬化製程,而將,二 銅金屬填入開口 a和b中(參照第丨C、1 D圖)。但是,由於 TaN層在切口 cutl (及cut2)部分易有斷離情形發生;所 以,完成銅金屬化製程後,在開口b中之切口cutl (cut2) 部分可能不會沈積有銅金屬,反而形成孔洞(v〇 i d)結構, 如第2C圖所示之孔洞v〇idl和void2。 在後續製程中,由於尚須進行其他之加熱程序 (thermal cycle),而加熱製程會使得銅金屬之晶粒結構 (grain structure)進行重組,如此會使得孔洞結構在介 層洞(開口 b)中產生變動,可能造成介層洞底部之銅金屬8 和基材1中之銅金屬層2、及障礙層7發生斷離,或是連接 不穩定之情形;如第2D圖所示。另外,若是後續測試過程 中,有由於經常有測試電流通過介層洞底部之銅金屬8, 所產生之熱能,亦會使銅金屬之晶粒結構進行重組,最後 也可能發生上述斷離、或不穩定之情形。由上述可知,介 層洞底部切口 cu 11及孔洞之形成,可能會導致介層洞底部 之銅金屬8無法和基材1中之銅金屬層構電性連接;所以嚴471126 V. Description of the invention quot " '~ "--cj 12 For the " layer / same bottom (ie the bottom of the opening b), its step coverage is inherently poor; therefore, When the sedimentation barrier (TaN) 7 is invisible, because the cutl (and cut2) portion cannot be attached and covered by τ & N, it is easy for the sedimentation of the TaN layer to be discontinuous (discontinuous); This is shown in Figure 2β. After the barrier layer 7 is formed, a copper seed 0 "(1 copper) must be formed on the TaN layer before the pvD method can be used to deposit copper through the seed to complete a good quality copper metallization process. And, two copper metals are filled in the openings a and b (refer to Figures 丨 C and 1 D). However, since the TaN layer is apt to be disconnected in the cutl (and cut2) portion of the cut; therefore, the copper metal is completed After the metallization process, copper metal may not be deposited in the cutl (cut2) part of the opening b, but instead a hole (vooid) structure is formed, as shown in Figure 2C, the holes void and void2. In subsequent processes In addition, since other heating cycles are required, the heating process will cause the grain structure of the copper metal to reorganize, which will cause the hole structure to change in the interstitial holes (opening b). The copper metal 8 at the bottom of the via hole and the copper metal layer 2 and the barrier layer 7 in the substrate 1 may be disconnected or the connection may be unstable; as shown in Figure 2D. In addition, if it is a subsequent test process Medium, because there are often tests The thermal energy generated by the flow through the copper metal 8 at the bottom of the via will also cause the grain structure of the copper metal to reorganize. Finally, the above-mentioned disconnection or instability may also occur. From the above, it can be known that the bottom of the via is The formation of the cut cu 11 and the hole may cause the copper metal 8 at the bottom of the via to be unable to be electrically connected to the copper metal layer in the substrate 1;

兮^丄丄 五、發明說明(3) 重影響了產品之良率和穩定性 有鑑於此,本發明提出一種 方法,主要係*去除介層洞底部㈣^銅連線之製造 之擴散障礙層)後,以含有氮、 八、9 (亦為鋼金屬 理,而將介層洞側壁上之氧化層 取代;因A,在使用酸槽進行 :=成分由氮成分 钕刻。藉由上述方式,編同底;切口 3 3 =液所 幅地降低。 疋不良影響可以大 法 為達到上述目的,本發明接 用以增進銅嵌入之完整性,連線之製造方 ⑷提供-半導體基材,开成有下步:° 層。 /、上形成有疋件及銅導線 (b)依序开> 成第一絕緣展 X s 二絕緣層、第二金屬㈣彖人層“苐一金屬層間介電層、第 ^ p間;丨電層於上述半導體基材上。 露出上述第 第二絕緣 其中,上述 戶、第全屬門人’〔第一金屬層間介電層、第二絕緣 描:: 介電層,以形成第-開。 一絕緣層。 ⑷定義去除上述第二金屬層 開口,露出上述第二絕緣層…… 層和第二開口内,上述第二金屬層間介電 、e )去除上:一電二構中成:上梯/ ( 第二開口中之上述第二二中:上述第-絕賴、及上述 r f X ^ „ —、、巴緣層,以露出上述銅導線層。 (f)進灯含有氮及氫之電槳處理。丄 丄 发明 5. Description of the invention (3) The yield and stability of the product are seriously affected. In view of this, the present invention proposes a method, which is mainly to remove the diffusion barrier layer manufactured by the copper connection at the bottom of the via hole. ), It is replaced by the oxide layer on the side wall of the via hole with nitrogen, VIII, 9 (also steel metal texture); because of A, it is carried out using an acid bath: = the composition is etched by the nitrogen component neodymium. The cuts are the same as the bottom; the incision 3 3 = the size of the liquid is reduced. 疋 Adverse effects can be achieved by Dafa In order to achieve the above purpose, the present invention is used to improve the integrity of copper embedding. The next step is: ° layer. /, A piece and a copper wire are formed on it (b) sequentially opened >> a first insulation layer X s a second insulation layer, a second metal layer "the first metal interlayer The electrical layer and the p-th layer; the electrical layer is on the semiconductor substrate. The second insulation is exposed, in which the above-mentioned households and the first belong to the gatekeeper's [the first metal interlayer dielectric layer and the second insulation description: An electrical layer to form a first-on. An insulating layer. The metal layer opening exposes the second insulating layer ... In the layer and the second opening, the above-mentioned second metal interlayer dielectric, e) is removed: one electric two structure: up the ladder / (the first In the second and second middle school: the above-mentioned absolute must, and the above-mentioned rf X ^ ——, and the edge layer to expose the above-mentioned copper wire layer. (F) the electric paddle treatment containing nitrogen and hydrogen into the lamp.

471126 五、發明說明(4) (g )使用酸性溶液進行清潔程序,以清除上述銅導線 層上之污染,更對上述第一金屬層間介電層之側壁進行蝕 刻,而加大上述第一開口之寬度。 (i)進行銅金屬化製程,將銅金屬嵌入上述第一開口 和第二開口中。 圖式之簡單說明: 為讓本發明之上述目的、特徵、和優點能更明、顧易 懂,下文特舉較佳實施例,並配合所附圖式,做詳細說明 如下: 第1 A〜1D圖顯示雙嵌入半導體銅製程之部分流程圖; 第2 A〜2D圖係顯示習知雙鑲嵌結構受到孔洞影響之示 意圖; 第3A~3F圖顯示本發明雙嵌入銅連線製造方法實施例 之流程剖面圖。 符號說明: 1〜半導體基材;2〜銅金屬層;3〜氮化矽;4〜絕緣層; 5〜氣化梦;6〜絕緣層;7〜障礙層;8〜銅金屬層;a-b〜開口 區;cuU-cut2〜切口; 30〜半導體基材;31〜銅導線層; 32〜第一絕緣層;33〜第一金屬層間介電層;34〜第二絕緣 層;35〜第二金屬層間介電層;36〜障礙層;37〜銅金屬 層;OP1〜第一開口; OP2第二開口; C卜C2〜切口; voidl-void2~孔洞。 實施例: 下文將參照第3A〜3E圖,對本發明之方法作詳細之471126 V. Description of the invention (4) (g) Use an acidic solution to perform cleaning procedures to remove the contamination on the copper wire layer, etch the sidewall of the first interlayer dielectric layer, and enlarge the first opening. Of its width. (i) A copper metallization process is performed to embed copper metal into the first and second openings. Brief description of the drawings: In order to make the above-mentioned objects, features, and advantages of the present invention clearer and easier to understand, the following describes the preferred embodiments and the accompanying drawings in detail, as follows: Section 1 A ~ Figure 1D shows part of the flowchart of the dual-embedded semiconductor copper manufacturing process; Figures 2A to 2D are schematic diagrams showing the effects of holes in the conventional dual-mosaic structure; Figures 3A to 3F show examples of the manufacturing method of the dual-embedded copper connection of the present invention Process sectional view. Explanation of symbols: 1 ~ semiconductor substrate; 2 ~ copper metal layer; 3 ~ silicon nitride; 4 ~ insulating layer; 5 ~ gasification dream; 6 ~ insulating layer; 7 ~ barrier layer; 8 ~ copper metal layer; ab ~ CuU-cut2 ~ cut; 30 ~ semiconductor substrate; 31 ~ copper wire layer; 32 ~ first insulating layer; 33 ~ first metal interlayer dielectric layer; 34 ~ second insulating layer; 35 ~ second metal Interlayer dielectric layer; 36 ~ barrier layer; 37 ~ copper metal layer; OP1 ~ first opening; OP2 second opening; C2 C2 ~ cutout; voidl-void2 ~ hole. Example: The method of the present invention will be described in detail below with reference to FIGS. 3A to 3E.

第8頁 471126 發明說明(5) 説明首ί發雙嵌入銅連線製造…包括如下步驟。 示)及銅導線二。半導體基材3°,其上形成有元件(未圖 再依序形成第—^ Ο Ο 雄 K t=t ^ - m ^ ^ 、、邑緣層32、第一金屬層間介電層33 ' ^ 第—金屬層間介電層35於上述半導體美# 30上;如第3A圖所示。 4卞净聪暴材 厗(丄逑第:人第二絕緣層可為氮化矽層(SiN)、碳化矽 層(SiC)、或軋氮化矽層(Si〇N)…等。在此實施例中,上 述第-、第二絕緣層(32、34)均為氮化矽層siN;上 -、第二金屬層間介電層為氧化層(si02),你】如⑽、 USG…等0 著,定義蝕刻上述氧化層35、氮化矽層34、氧化 33,以形成第一開口〇P1 (用以定義介層洞),而露出上 氤化矽層3 2 ;如第3 B圖所示 再以微影定義蝕刻上述氧化層3 5,以氮化矽層34作 蝕刻終止層,以形成第二開口〇P2,而露出上述氮化矽層、 34 ;如第3C圖所示,上述第一開口〇ρι係位於上述第二開 口 OP2内,而上述氧化層35和33則構成階梯型(或丁型) 輪廓。 接著,使用乾式蝕刻法去除上述第一開口 〇ρι中之氮 化矽層32、及上述第二開口0P2中之氮化矽層34,以露出 上述銅導線層31 ;如第3D圖所示。 值得注意的是第3D圖中,在第一開口〇ρι底部之氮化 矽層32有切口C1形成;而第二開口〇p2之氮化矽層32亦有Page 8 471126 Description of the invention (5) Explains the manufacturing of the first double-embedded copper wiring ... including the following steps. (Shown) and copper wire two. The semiconductor substrate is 3 °, and elements are formed thereon (not shown, and then the first-^ Ο Ο male K t = t ^-m ^ ^), the edge layer 32, the first metal interlayer dielectric layer 33 ′ ^ The first-metal interlayer dielectric layer 35 is on the above-mentioned semiconductor beauty # 30; as shown in FIG. 3A. (4) Jing Cong violent material (2): the second insulating layer may be a silicon nitride layer (SiN), silicon carbide Layer (SiC), or rolled silicon nitride layer (SiON), etc. In this embodiment, the first and second insulating layers (32, 34) are both silicon nitride layers siN; The two metal interlayer dielectric layer is an oxide layer (si02), such as ytterbium, USG, etc., and defines the etching of the above-mentioned oxide layer 35, silicon nitride layer 34, and oxide 33 to form a first opening. Define the interlayer hole), and expose the upper siliconized silicon layer 3 2; as shown in FIG. 3B, the above-mentioned oxide layer 35 is etched with a lithographic definition, and the silicon nitride layer 34 is used as an etching stop layer to form a second The opening 〇P2, and the silicon nitride layer 34 is exposed; as shown in FIG. 3C, the first opening 〇ρι is located in the second opening OP2, and the oxide layers 35 and 33 constitute a stepped (or Ding) Type) wheel Next, the dry etching method is used to remove the silicon nitride layer 32 in the first opening oop and the silicon nitride layer 34 in the second opening OP2 to expose the copper wire layer 31; as shown in FIG. 3D It is worth noting that in FIG. 3D, the silicon nitride layer 32 at the bottom of the first opening 0p has a cut C1; and the silicon nitride layer 32 of the second opening 0p2 also has a cutout C1.

第9頁 471126 五、發明說明(6) 一 " 切口 C2形成。 再進行含有氮離子及氫離子之電漿處理,在此實施例 中,電漿處理所使用之反應氣體係選擇自NHs、化/仏之 '— 〇 ^ 其中,上述氧化層35和33在經過NH3或^/112電漿處理 後’其内部所含之部分氧成分將為氮所取代(如Si〇2〜 SiON)。 接著,使用酸性溶液進行清潔程序(酸槽處理),以清. 除上述銅導線層31上之污染。 八由於一般清潔程序所用之酸性溶液大多含氟及胺成 分’所以上述酸性溶液對Si〇N ' Si〇2、和之蝕刻率大 小分別為· S 1 ON > > s i 〇2主s i N。由於酸槽處理之溶液對 SiON層(亦即由氧化層35、33部分轉化而來)之蝕刻率遠大 =SiN層(34、32)。所以在清潔銅導線層31之同時,酸性 /谷液也會對第一開口0P1 (介層洞)和第二開口〇p2中之 S1 ON層侧壁部分進行蝕刻(亦即去除第3D圖中之虛線區 域),結果如第3E圖所示。由於8丨训層(原氧化層33、35) 之側壁部分經由酸槽處理後加大了第一、第二開口(〇ρι、 0P2)之寬度,藉此而達到消除切口(C1、C2)並調整開口輪 摩之目的。 一最後’先沈積障礙層36 (如TaN);再沈積鋼金屬,而 將銅金屬後入上述第一開口〇Pl和第二開口〇p2中,而完成 雙後入銅連線製程,結果如第3F圖所示。 由上述可知’本發明主要係在去除介層洞底部餘刻終Page 9 471126 V. Description of the invention (6) A " The cut C2 is formed. Plasma treatment containing nitrogen ions and hydrogen ions is further performed. In this embodiment, the reaction gas system used in the plasma treatment is selected from NHs, chem. / 仏 '-〇 ^, where the above-mentioned oxide layers 35 and 33 pass through After NH3 or ^ / 112 plasma treatment, part of the oxygen contained in its interior will be replaced by nitrogen (such as Si02 ~ SiON). Next, use an acidic solution to perform a cleaning process (acid bath treatment) to remove the contamination on the copper wire layer 31 described above. Because most of the acidic solutions used in general cleaning procedures contain fluorine and amine components, so the etching rate of the above acidic solutions for SiON, Si02, and Si are respectively · S 1 ON > > si 〇2 main si N . The etching rate of the solution treated by the acid bath to the SiON layer (that is, converted from the oxide layers 35 and 33) is much greater than the SiN layer (34, 32). Therefore, while cleaning the copper wire layer 31, the acid / valley solution will also etch the sidewall portion of the S1 ON layer in the first opening 0P1 (via hole) and the second opening 0p2 (that is, remove the 3D picture) Dashed area), the results are shown in Figure 3E. Because the side walls of the 8 丨 training layer (the original oxide layers 33, 35) are treated with an acid bath, the width of the first and second openings (〇ρι, 0P2) is increased, thereby eliminating the incision (C1, C2). And adjust the purpose of open wheels. -Finally, the barrier layer 36 (such as TaN) is deposited first; then the steel metal is deposited, and the copper metal is then inserted into the first opening Pl and the second opening oop2, and the double-post copper connection process is completed. The result is as follows: Figure 3F. From the above, it can be known that the present invention is mainly based on the removal of the bottom of the via hole.

471126 五、發明說明(7) 止層後’以含有氮、風成分之氣體進行電漿處理,而將介 層洞側壁上之氧化層中之部分氧成分由氮成分取代;因 此’在使用酸槽進行清潔時,更易為酸性溶液所蝕刻,使 得介層洞側壁整體輪廓更為平整。藉由上述方式,介層洞 底部切口之不良影響可以大幅地降低而予以消除。 PP A ^ I明U从权住貫施例揭露如上,然其並非用丨、;471126 V. Description of the invention (7) After the stop layer, the plasma treatment is performed with a gas containing nitrogen and wind components, and part of the oxygen components in the oxide layer on the side wall of the via are replaced by nitrogen components; When the groove is cleaned, it is easier to be etched by the acid solution, so that the overall profile of the sidewall of the via is smoother. By the above-mentioned method, the adverse effect of the cut at the bottom of the via can be greatly reduced and eliminated. PP A ^ I clarified that the above-mentioned embodiments are disclosed from the right, but they are not used;

限疋本發明,任何熟悉本 用U 神和乾圍内,當可做些許 m1明之精 邊fe圍當視後附之申諳直 ^ χ ^明之仅 Τ明寻利辄園所界定者為準。 诉Restricting the present invention, anyone familiar with the U-shen and Ganwei can do a little bit of the fine edge of the m1 Ming Fei will be treated as the attached application ^ χ ^ Ming only as defined by the Treasure Hunting Garden . Complain

$ 11頁$ 11 pages

Claims (1)

471126 六、申請#鄕目 --- 1. 一種雙嵌入銅連線之製造方法,用以增進銅嵌入之 完整性,包括: 提供一半導體基材,其上形成有元件及銅導線層; 依序形成第一絕緣層、第一金屬層間介電層、第二絕 緣層、第二金屬層間介電層於上述半導體基材上; 定義去除上述第二金屬層間介電層、^二絕緣層、第 層; 开J義ί除上述第二金屬層間介電層、第二絕緣層,以 ν成第一開口 ,露出上述第二絕緣層; 口係位於上述第二開口内,^ ; 上迎弟Ί .Μ ^ 1内一述第二金屬層間介電層和第 一金屬層間介電層構成階梯型(或τ型)剖面輪 ^除上述第一開口中之上述第' 絕緣層、及上述第二 幵1 口中之上述第二絕緣層,以露出上述銅導線屛· 進行含有氮及氫之電漿處理; 曰’ 之、、亏用ϊΐί溶液進行清潔程序,以清除上述鋼導線層上 而加:上、上达第一金屬層間介電層之側壁進行蝕刻, 而加大上述第一開口之寬度;以及 二開ίΐ鋼金屬化製程,將銅金屬嵌入上述第-開口和第 ,上述第 氧氮化矽 2. 如申請專利範圍第i項所述之方法,其中 一、第二絕緣層係選擇自氮化矽層、碳化矽芦、 層之一。 曰 3. 如申請專利範圍第1項所述之方法,其中,上述第471126 VI. Application # 鄕 目 --- 1. A method for manufacturing a dual-embedded copper connection to improve the integrity of copper embedding, including: providing a semiconductor substrate having a component and a copper wire layer formed thereon; Sequentially forming a first insulating layer, a first metal interlayer dielectric layer, a second insulating layer, and a second metal interlayer dielectric layer on the semiconductor substrate; defining the removal of the second metal interlayer dielectric layer, the two insulating layers, The first layer: remove the second metal interlayer dielectric layer and the second insulating layer to form a first opening with ν to expose the second insulating layer; the mouth is located in the second opening; Ί .M ^ 1 describes that the second metal interlayer dielectric layer and the first metal interlayer dielectric layer constitute a stepped (or τ-shaped) profile wheel ^ except for the above-mentioned 'insulation layer' and the above-mentioned The second insulation layer in the second mouth is exposed to the copper wire. The plasma treatment containing nitrogen and hydrogen is performed. The cleaning process is performed using a solution to remove the steel wire layer. : Up and Up First Metal The sidewall of the dielectric layer is etched to increase the width of the first opening; and the second metallization process of the steel is to embed the copper metal into the first opening and the first silicon oxynitride. The method described in the item i of the scope, wherein the first and second insulating layers are selected from one of a silicon nitride layer, a silicon carbide layer, and a layer. 3. The method described in item 1 of the scope of patent application, wherein 471126 六、申請專利範圍 一、第二金屬層間介電層為氧化層。 4. 如申請專利範圍第3項所述之方法,其中,上述氧 化層經上述電漿處理後,其内部所含之部分氧成分將為氮 所取代,而更易為上述酸性溶液所餘刻。 5. 如申請專利範圍第1項所述之方法,其中,上述電 漿處理所使用之反應氣體係選擇自NH3、N2/H2之一。471126 6. Scope of patent application 1. The second metal interlayer dielectric layer is an oxide layer. 4. The method according to item 3 of the scope of patent application, wherein after the above-mentioned oxidation layer is subjected to the above-mentioned plasma treatment, part of the oxygen component contained in the inside of the oxidation layer will be replaced by nitrogen, and it will be more easily left by the above-mentioned acidic solution. 5. The method according to item 1 of the scope of patent application, wherein the reaction gas system used in the above plasma treatment is selected from one of NH3 and N2 / H2. 第13頁Page 13
TW89117584A 2000-08-30 2000-08-30 Manufacturing method for dual damascene of copper connection TW471126B (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
TW89117584A TW471126B (en) 2000-08-30 2000-08-30 Manufacturing method for dual damascene of copper connection

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
TW89117584A TW471126B (en) 2000-08-30 2000-08-30 Manufacturing method for dual damascene of copper connection

Publications (1)

Publication Number Publication Date
TW471126B true TW471126B (en) 2002-01-01

Family

ID=21660964

Family Applications (1)

Application Number Title Priority Date Filing Date
TW89117584A TW471126B (en) 2000-08-30 2000-08-30 Manufacturing method for dual damascene of copper connection

Country Status (1)

Country Link
TW (1) TW471126B (en)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN100345278C (en) * 2003-09-12 2007-10-24 台湾积体电路制造股份有限公司 Process for forming metal damascene structure
US20100330811A1 (en) * 2009-06-29 2010-12-30 Oki Semiconductor Co., Ltd. Method for forming via holes
CN103367225A (en) * 2012-03-29 2013-10-23 中芯国际集成电路制造(上海)有限公司 Trench preparation method
US11018052B2 (en) 2019-06-27 2021-05-25 Yangtze Memory Technologies Co., Ltd. Interconnect structure and method of forming the same

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN100345278C (en) * 2003-09-12 2007-10-24 台湾积体电路制造股份有限公司 Process for forming metal damascene structure
US20100330811A1 (en) * 2009-06-29 2010-12-30 Oki Semiconductor Co., Ltd. Method for forming via holes
CN103367225A (en) * 2012-03-29 2013-10-23 中芯国际集成电路制造(上海)有限公司 Trench preparation method
CN103367225B (en) * 2012-03-29 2015-06-10 中芯国际集成电路制造(上海)有限公司 Trench preparation method
US11018052B2 (en) 2019-06-27 2021-05-25 Yangtze Memory Technologies Co., Ltd. Interconnect structure and method of forming the same
TWI729651B (en) * 2019-06-27 2021-06-01 大陸商長江存儲科技有限責任公司 Method for manufacturing semiconductor device

Similar Documents

Publication Publication Date Title
KR100599434B1 (en) Method of forming metal interconnection line for semiconductor device
TW441015B (en) Dual-damascene interconnect structures and methods for fabricating same
JP4492947B2 (en) Manufacturing method of semiconductor device
US6174796B1 (en) Semiconductor device manufacturing method
US6500762B2 (en) Method of depositing a copper seed layer which promotes improved feature surface coverage
KR100977947B1 (en) A semiconductor device barrier layer
JP2009152544A (en) Method of forming void in multilevel interconnection structure
US7670946B2 (en) Methods to eliminate contact plug sidewall slit
JP2003517205A (en) Method for forming copper wiring and thin film using catalyst and chemical vapor deposition
JPH10275800A (en) Plasma etching method
TWI694501B (en) Dielectric/metal barrier integration to prevent copper diffusion
US10224275B2 (en) Copper interconnect structures
US11705366B2 (en) Methods for controllable metal and barrier-liner recess
TWI263303B (en) Manufacturing method of semiconductor device
JP2008010534A (en) Semiconductor device and manufacturing method thereof
JP2003163266A (en) Semiconductor device and manufacturing method thereof
TW471126B (en) Manufacturing method for dual damascene of copper connection
US6727138B2 (en) Process for fabricating an electronic component incorporating an inductive microcomponent
JP3266492B2 (en) Method for manufacturing semiconductor device
TW559992B (en) Selective tungsten stud as copper diffusion barrier to silicon contact
KR100488223B1 (en) Electroless plating process, and embedded wire and forming process thereof
US20070128553A1 (en) Method for forming feature definitions
US20030109133A1 (en) Process for fabricating an electronic component incorporating an inductive microcomponent
JP2008147675A (en) Method of forming electrical connection structure using non-uniform metal nitride layer and connection structure formed thereby
CN104037117B (en) Semiconductor device and manufacture method thereof

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent
MK4A Expiration of patent term of an invention patent