JP2003163266A - Semiconductor device and manufacturing method thereof - Google Patents

Semiconductor device and manufacturing method thereof

Info

Publication number
JP2003163266A
JP2003163266A JP2001361936A JP2001361936A JP2003163266A JP 2003163266 A JP2003163266 A JP 2003163266A JP 2001361936 A JP2001361936 A JP 2001361936A JP 2001361936 A JP2001361936 A JP 2001361936A JP 2003163266 A JP2003163266 A JP 2003163266A
Authority
JP
Japan
Prior art keywords
wiring
insulating film
film
wiring groove
semiconductor device
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2001361936A
Other languages
Japanese (ja)
Inventor
Hiroyuki Kawashima
寛之 川島
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Sony Corp
Original Assignee
Sony Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Sony Corp filed Critical Sony Corp
Priority to JP2001361936A priority Critical patent/JP2003163266A/en
Publication of JP2003163266A publication Critical patent/JP2003163266A/en
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/7682Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing the dielectric comprising air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1005Formation and after-treatment of dielectrics
    • H01L2221/1052Formation of thin functional dielectric layers
    • H01L2221/1057Formation of thin functional dielectric layers in via holes or trenches
    • H01L2221/1063Sacrificial or temporary thin dielectric films in openings in a dielectric

Abstract

<P>PROBLEM TO BE SOLVED: To provide a manufacturing method of a semiconductor device suppressing process increase such as patterning, reducing an inter-wiring capacity, superior in adhesion and mechanical strength with a dispersion barrier film covering a wiring material and superior in manufacture of an insulating film, and to provide the semiconductor device. <P>SOLUTION: The manufacturing method of the semiconductor device and the semiconductor device have a process for forming a wiring groove 13 on a first insulating film 12 on a substrate 11, a process for forming a side wall layer 14 on a side wall of the wiring groove 13, a process for burying a conductive material in the inside of the wiring groove 13 forming the side wall layer 14 to form wiring 17, and a process for providing a space (a) with a constant width (w) between the side wall of the wiring groove 13 and the wiring 17 by selectively removing the side wall layer 14. <P>COPYRIGHT: (C)2003,JPO

Description

【発明の詳細な説明】Detailed Description of the Invention

【0001】[0001]

【発明の属する技術分野】本発明は半導体装置の製造方
法および半導体装置に関し、詳しくは絶縁膜に形成され
た配線溝に導電性材料を埋め込んで配線を形成する工程
を有する半導体装置の製造方法および半導体装置に関す
る。
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a method for manufacturing a semiconductor device and a semiconductor device, and more particularly to a method for manufacturing a semiconductor device having a step of forming a wiring by filling a wiring groove formed in an insulating film with a conductive material. The present invention relates to a semiconductor device.

【0002】[0002]

【従来の技術】近年、半導体装置の微細化、高速化の要
求が高まるにつれて、配線の微細化および多層化が加速
的に進められている。こうした多層配線プロセスを有す
る半導体装置では、配線遅延が半導体装置の信号遅延の
要因となっている。このような配線遅延を改善するに
は、配線抵抗と配線間容量を低減することが重要であ
る。現在、配線抵抗の低減に関しては、従来用いられて
きたアルミニウム合金配線と比較して、低抵抗であり、
エレクトロマイグレーション耐性に優れた銅配線技術が
注目されており、実用化に向け検討されている。
2. Description of the Related Art In recent years, with the increasing demand for miniaturization and high speed of semiconductor devices, miniaturization and multi-layering of wiring have been accelerated. In a semiconductor device having such a multilayer wiring process, wiring delay is a factor of signal delay of the semiconductor device. In order to improve such wiring delay, it is important to reduce wiring resistance and wiring capacitance. At present, regarding the reduction of wiring resistance, it has a lower resistance than aluminum alloy wiring that has been used conventionally,
Copper wiring technology, which has excellent electromigration resistance, has been drawing attention and is being studied for practical use.

【0003】銅配線を加工する技術としては、一般に銅
のドライエッチングが容易でないこと等から、シングル
ダマシン法、デュアルダマシン法などのいわゆる溝配線
による方法が有望視されている。溝配線とは、酸化シリ
コン等の絶縁膜に予め所定の溝を形成しておき、その溝
に配線材料を埋め込み、その後に溝外の余剰な配線材料
を化学的機械研磨(以下、CMPとする、CMPはChem
ical Mechanical Polishingの略)等によって除去する
ことにより形成する配線をいう。溝内に配線材料を埋め
込む方法としては、スパッタ成膜した後にリフローする
方法、電解メッキ法等が検討されている。このような銅
配線では、絶縁膜への銅の拡散を防止するために、窒化
シリコンや窒化タンタル(TaN)等の拡散バリア膜を
用いて配線を被覆している。
As a technique for processing a copper wiring, a so-called trench wiring method such as a single damascene method or a dual damascene method is regarded as promising because dry etching of copper is generally not easy. The grooved wiring is formed by forming a predetermined groove in an insulating film such as silicon oxide in advance, burying the wiring material in the groove, and thereafter, surplus wiring material outside the groove is chemically mechanically polished (hereinafter referred to as CMP). , CMP is Chem
It is a wiring formed by removing it by the abbreviation of "Chemical Mechanical Polishing". As a method of embedding a wiring material in the groove, a method of performing reflow after forming a film by sputtering, an electrolytic plating method, and the like are being studied. In such a copper wiring, in order to prevent the diffusion of copper into the insulating film, the wiring is covered with a diffusion barrier film such as silicon nitride or tantalum nitride (TaN).

【0004】[0004]

【発明が解決しようとする課題】しかし、上述したよう
な銅配線を被覆する拡散バリア膜は、一般的に比誘電率
が比較的高いことから、配線間容量を増大させる要因と
なっていた。さらに、層間絶縁膜には比誘電率が比較的
高い酸化シリコンが用いられており、配線間容量を増大
させていた。そこで、配線間容量を低下させるために、
低誘電率絶縁膜を層間絶縁膜として用いる検討がされて
いる。
However, since the diffusion barrier film covering the copper wiring as described above generally has a relatively high relative dielectric constant, it has been a factor of increasing the capacitance between wirings. Further, silicon oxide having a relatively high relative dielectric constant is used for the interlayer insulating film, which increases the capacitance between wirings. Therefore, in order to reduce the capacitance between wires,
The use of a low dielectric constant insulating film as an interlayer insulating film is being studied.

【0005】しかし、配線間容量を低減させるために、
層間絶縁膜に低誘電率絶縁膜を使用すると、酸化シリコ
ン膜と比較して、配線材料を被覆する拡散バリア膜と
の密着性の低下による拡散バリア膜の剥離、溝形成の
際にマスクとして用いたレジストをアッシング処理する
際の、層間絶縁膜のサイドエッチ、エッチング後にお
ける溝の側壁形状の悪化(ボーイング形状等)、CM
P等のストレスに対する機械的強度不足という問題があ
った。
However, in order to reduce the capacitance between wirings,
When a low-dielectric-constant insulating film is used for the interlayer insulating film, it is used as a mask when peeling the diffusion barrier film or forming a groove due to a decrease in adhesion with the diffusion barrier film that covers the wiring material, compared to a silicon oxide film. Side etching of the interlayer insulating film when ashing the existing resist, deterioration of the side wall shape of the groove after etching (bowing shape, etc.), CM
There is a problem of insufficient mechanical strength against stress such as P.

【0006】また、配線間容量を低減させるために、空
中配線も考えられるが、機械的強度不足の問題がある。
そこで、強度不足を補うために、絶縁膜により支柱を形
成した空中配線の例もあるが、支柱を形成するためのパ
ターニングなどの工程増につながるという問題があっ
た。
Further, in-air wiring may be considered in order to reduce the capacitance between wirings, but there is a problem of insufficient mechanical strength.
Therefore, there is an example of an aerial wiring in which a pillar is formed of an insulating film in order to compensate for the lack of strength, but there is a problem that it leads to an increase in steps such as patterning for forming the pillar.

【0007】このため、パターニングなどの工程増を抑
制し、配線間容量を低減させるとともに、配線材料を被
覆する拡散バリア膜との密着性や機械的強度にも優れ、
絶縁膜の加工性にも優れた半導体装置の製造方法および
半導体装置が望まれていた。
Therefore, it is possible to suppress an increase in the number of steps such as patterning, reduce the inter-wiring capacitance, and also have excellent adhesion and mechanical strength with the diffusion barrier film that covers the wiring material.
A semiconductor device manufacturing method and a semiconductor device having excellent workability of an insulating film have been desired.

【0008】[0008]

【課題を解決するための手段】上記のような課題を解決
するために、本発明の請求項1に記載された半導体装置
の製造方法は、基板上の絶縁膜に配線溝を形成する工程
と、配線溝の側壁にサイドウォール層を形成する工程
と、サイドウォール層が形成された配線溝の内部に導電
性材料を埋め込んで配線を形成する工程と、サイドウォ
ール層を選択的に除去することで、配線溝の側壁と配線
との間に一定幅の空間を設ける工程とを有することを特
徴としている。
In order to solve the above-mentioned problems, a method of manufacturing a semiconductor device according to claim 1 of the present invention comprises a step of forming a wiring groove in an insulating film on a substrate. A step of forming a side wall layer on the side wall of the wiring groove, a step of forming a wiring by burying a conductive material inside the wiring groove in which the side wall layer is formed, and selectively removing the side wall layer Then, a step of providing a space of a constant width between the side wall of the wiring groove and the wiring.

【0009】このような半導体装置の製造方法によれ
ば、絶縁膜に形成された配線溝の側壁をサイドウォール
層で被覆し、配線を形成した後、サイドウォール層を除
去することから、配線と配線溝の側壁との間には、サイ
ドウォール幅に対応した一定幅の空間が自己整合的に設
けられる。これにより、パターニング等の工程数を増や
さなくても、絶縁膜の実質的な比誘電率を低下させるこ
とができ、配線間容量を低減することができる。したが
って、絶縁膜に低誘電率絶縁膜を用いなくても、配線間
容量を低減することができることから、低誘電率絶縁膜
を用いた場合に生じる種々の問題を回避でき、拡散バリ
ア膜との密着性や絶縁膜の加工性に優れるとともに、製
造工程におけるCMP等のストレスに対しても、十分な
機械的強度を有する半導体装置を得ることが可能であ
る。
According to such a method of manufacturing a semiconductor device, the sidewall of the wiring groove formed in the insulating film is covered with the sidewall layer, the wiring is formed, and then the sidewall layer is removed. A space having a constant width corresponding to the side wall width is provided in a self-aligned manner with the side wall of the wiring groove. As a result, the substantial relative permittivity of the insulating film can be reduced and the inter-wiring capacitance can be reduced without increasing the number of steps such as patterning. Therefore, since the inter-wiring capacitance can be reduced without using a low dielectric constant insulating film as the insulating film, various problems that occur when the low dielectric constant insulating film is used can be avoided, and It is possible to obtain a semiconductor device having excellent adhesiveness and workability of the insulating film, and having sufficient mechanical strength against stress such as CMP in the manufacturing process.

【0010】また、本発明の請求項2に記載された半導
体装置の製造方法は、基板上の絶縁膜に配線溝とともに
配線溝の底部に連通する接続孔とを形成する工程と、配
線溝および接続孔の側壁にサイドウォール層を形成する
工程と、配線溝および接続孔の内部に導電性材料を埋め
込み、配線溝の内部に配線を形成するとともに接続孔の
内部にビアを形成する工程と、サイドウォール層を選択
的に除去することで、配線溝の側壁と配線との間に一定
幅の空間を設けるとともに、接続孔の側壁とビアとの間
に空間を設ける工程を有することを特徴としている。
According to a second aspect of the present invention, there is provided a method for manufacturing a semiconductor device, which includes a step of forming a wiring groove and a connection hole communicating with the bottom of the wiring groove in an insulating film on a substrate, the wiring groove, and A step of forming a sidewall layer on the side wall of the connection hole, a step of burying a conductive material inside the wiring groove and the connection hole, forming a wiring inside the wiring groove and forming a via inside the connection hole, By selectively removing the sidewall layer, a space having a constant width is provided between the sidewall of the wiring groove and the wiring, and a space is provided between the sidewall of the connection hole and the via. There is.

【0011】このような半導体装置の製造方法によれ
ば、配線溝だけでなく、接続孔の側壁とビアの間にも空
間を設けることができることから、請求項1に記載され
た製造方法と比較して、さらに絶縁膜の実質的な比誘電
率を低下させ、配線間容量を低減することができる。
According to the method of manufacturing a semiconductor device as described above, not only the wiring groove but also a space can be provided between the sidewall of the connection hole and the via. Therefore, a comparison with the manufacturing method according to claim 1 is made. As a result, the relative dielectric constant of the insulating film can be further lowered, and the inter-wiring capacitance can be reduced.

【0012】また、本発明の請求項3に記載された半導
体装置は、基板上に形成された絶縁膜と、絶縁膜に形成
された配線溝と、配線溝の内部に形成された配線とを備
えた半導体装置において、配線が配線溝の側壁に対して
一定幅の空間を有して設けられていることを特徴として
いる。
Further, a semiconductor device according to a third aspect of the present invention includes an insulating film formed on a substrate, a wiring groove formed in the insulating film, and a wiring formed inside the wiring groove. In the semiconductor device provided, the wiring is provided with a space having a constant width with respect to the side wall of the wiring groove.

【0013】このような構成の半導体装置によれば、配
線が配線溝の側壁に対して一定幅の空間を有して設けら
れていることから、絶縁膜の実質的な比誘電率を低下さ
せることができ、配線間容量を低減することができる。
また、上記の空間が一定幅で設けられていることによ
り、配線が均一に設けられている場合には、同一層間に
おける配線間容量を均一にすることが可能である。
According to the semiconductor device having such a structure, since the wiring is provided with a space having a constant width with respect to the sidewall of the wiring groove, the substantial relative permittivity of the insulating film is lowered. Therefore, the capacitance between wirings can be reduced.
Further, since the space is provided with a constant width, it is possible to make the capacitance between wirings uniform in the same layer when the wirings are evenly provided.

【0014】[0014]

【発明の実施の形態】以下、本発明の実施の形態を図面
に基づいて詳細に説明する。各実施形態においては、半
導体装置の構成を製造工程順に説明する。 (第1実施形態)
BEST MODE FOR CARRYING OUT THE INVENTION Embodiments of the present invention will be described in detail below with reference to the drawings. In each embodiment, the configuration of the semiconductor device will be described in the order of manufacturing steps. (First embodiment)

【0015】本発明の半導体装置の製造方法に係わる実
施の形態の一例を、図1から図4の製造工程断面図によ
って説明する。まず、図1(1)に示すように、基板
(例えばシリコン基板)11上に、プラズマCVD(Ch
emical Vapor Deposition)法によって、酸化シリコン
からなる第1の絶縁膜12を成膜する。成膜条件の一例
として、プロセスガスにシラン(SiH4)〔流量:1
00cm3/min〕と酸化二窒素(N2O)〔流量:1
800cm3/min〕と窒素(N2)〔流量:1000
cm3/min〕とを用い、CVD装置のRF(Radio F
requency)電力を400W、成膜雰囲気の圧力を670
Pa、基板加熱温度を380℃、成膜膜厚を500nm
に設定する。尚、ガス流量は標準状態における体積流量
を示すものとする。
An example of an embodiment relating to a method of manufacturing a semiconductor device of the present invention will be described with reference to manufacturing process sectional views of FIGS. First, as shown in FIG. 1A, a plasma CVD (Ch
The first insulating film 12 made of silicon oxide is formed by the emical vapor deposition method. As an example of film forming conditions, silane (SiH 4 ) [flow rate: 1
00 cm 3 / min] and nitrous oxide (N 2 O) [flow rate: 1
800 cm 3 / min] and nitrogen (N 2 ) [Flow rate: 1000
cm 3 / min] and the RF (Radio F
requency) power of 400 W, film forming atmosphere pressure of 670
Pa, substrate heating temperature 380 ° C., film thickness 500 nm
Set to. The gas flow rate indicates the volumetric flow rate in the standard state.

【0016】次に、レジストパターン(図示せず)をマ
スクに用いた反応性エッチングによって、第1の絶縁膜
12を除去し、第1の絶縁膜12に配線溝13を形成す
る。反応性エッチング条件の一例としては、エッチング
ガスに8フッ化シクロブタン(C48)〔流量:9cm
3/min〕と一酸化炭素(CO)〔流量:50cm3
min〕とアルゴン(Ar)〔流量:200cm3/m
in〕と酸素(O2)〔流量:5cm3/min〕とを用
い、RF電力を1760W、エッチング雰囲気内圧力を
4.8Paに設定する。
Next, the first insulating film 12 is removed by reactive etching using a resist pattern (not shown) as a mask, and a wiring groove 13 is formed in the first insulating film 12. As an example of the reactive etching conditions, cyclobutane octafluoride (C 4 F 8 ) [flow rate: 9 cm is used as an etching gas.
3 / min] and carbon monoxide (CO) [flow rate: 50 cm 3 /
min] and Argon (Ar) [Flow rate: 200 cm 3 / m
in] and oxygen (O 2 ) [flow rate: 5 cm 3 / min], RF power is set to 1760 W and etching atmosphere pressure is set to 4.8 Pa.

【0017】ここで、配線溝13の深さは、例えば、3
50nmになるようにする。尚、図面においては配線溝
13の短辺方向の断面が示されていることとする。ま
た、配線溝13の短辺方向の開口幅は、配線溝13の内
部に形成する配線の所望の幅より、一定幅(片側100
nm程度)広く形成する。
Here, the depth of the wiring groove 13 is, for example, 3
It should be 50 nm. In the drawings, the cross section of the wiring groove 13 in the short side direction is shown. In addition, the opening width of the wiring groove 13 in the short side direction is a constant width (100 on one side 100 mm) from the desired width of the wiring formed inside the wiring groove 13.
Widely formed (about nm).

【0018】次いで、レジストパターンを除去した後、
図1(2)に示すように、CVD法によって、配線溝1
3の内壁を覆う状態で、例えば、アモルファスカーボン
フッ素からなるサイドウォール層14を第1の絶縁膜1
2上に成膜する。この成膜条件の一例としては、プロセ
スガスに4フッ化メタン(CF4)〔流量:25cm3
min〕とメタン(CH4)〔流量:40cm3/mi
n〕と水素(H2)〔流量:130cm3/min〕とAr
〔流量:50cm3/min〕とを用い、CVD装置の
RF電力を2500W、成膜雰囲気内圧力を0.1P
a、成膜膜厚を150nmに設定する。
Then, after removing the resist pattern,
As shown in FIG. 1B, the wiring groove 1 is formed by the CVD method.
In a state of covering the inner wall of No. 3, the side wall layer 14 made of, for example, amorphous carbon fluorine is applied to the first insulating film 1.
A film is formed on 2. As an example of the film forming conditions, tetrafluoromethane (CF 4 ) [flow rate: 25 cm 3 /
min] and methane (CH 4 ) [Flow rate: 40 cm 3 / mi
n] and hydrogen (H 2 ) [flow rate: 130 cm 3 / min] and Ar
[Flow rate: 50 cm 3 / min], the RF power of the CVD device is 2500 W, and the pressure in the film forming atmosphere is 0.1 P.
a, the film thickness is set to 150 nm.

【0019】ここでは、サイドウォール層14におけ
る、配線溝13の側壁を被覆する部分の膜厚を100n
mとするため、カバレッジ75%の成膜条件として成膜
膜厚を150nmに設定したが、配線溝13の側壁にお
いて所望の膜厚を得られるように、カバレッジに対応さ
せて成膜膜厚を設定する。また、サイドウォール層14
が形成された後の配線溝13の開口幅が、後工程で形成
する配線の配線幅となるため、所望の配線幅が保たれる
ようにサイドウォール層14の成膜膜厚を最適化する。
Here, the film thickness of the portion of the side wall layer 14 that covers the side wall of the wiring groove 13 is 100 n.
In order to obtain m, the film thickness was set to 150 nm as a film forming condition for coverage of 75%. However, the film thickness is set to correspond to the coverage so that a desired film thickness can be obtained on the sidewall of the wiring groove 13. Set. In addition, the sidewall layer 14
Since the opening width of the wiring groove 13 after the formation of the wiring becomes the wiring width of the wiring formed in a later process, the film thickness of the sidewall layer 14 is optimized so that the desired wiring width is maintained. .

【0020】ここでの成膜法は、カバレッジの良好なC
VD法が好ましい。尚、サイドウォール層14として、
本実施形態ではアモルファスカーボンを用いたが、これ
に限定されず、被覆性に優れ、第1の絶縁膜12に対し
て少なくとも3以上の選択比でエッチングできる材料で
形成すればよい。第1の絶縁膜12が酸化シリコンから
なる場合には、アモルファスカーボン、アモルファスカ
ーボン水素、カーボン、窒化シリコンを用いてもよい。
The film-forming method here is C with good coverage.
The VD method is preferred. As the sidewall layer 14,
Although amorphous carbon is used in the present embodiment, it is not limited to this, and it may be formed of a material having excellent coverage and capable of etching the first insulating film 12 at a selection ratio of at least 3 or more. When the first insulating film 12 is made of silicon oxide, amorphous carbon, amorphous carbon hydrogen, carbon, or silicon nitride may be used.

【0021】そして、図1(3)に示すように、サイド
ウォール層14が配線溝13の側壁のみに残存するよう
に、サイドウォール層14を反応性エッチングによりエ
ッチバック除去する。反応性エッチング条件の一例とし
てはエッチングガスにO2〔流量:60cm3/min〕
を用いて、RF電力を500W、エッチング雰囲気内圧
力を20Pa、基板加熱温度を220℃に設定する。
Then, as shown in FIG. 1C, the side wall layer 14 is etched back by reactive etching so that the side wall layer 14 remains only on the side wall of the wiring groove 13. As an example of the reactive etching conditions, the etching gas is O 2 [flow rate: 60 cm 3 / min].
Using, the RF power is set to 500 W, the etching atmosphere pressure is set to 20 Pa, and the substrate heating temperature is set to 220 ° C.

【0022】次に、図1(4)に示すように、マグネト
ロンスパッタ法によって、サイドウォール層14で側壁
が被覆された配線溝13の内壁を覆う状態で、拡散バリ
ア膜15を第1の絶縁膜12上に成膜する。拡散バリア
膜15は配線材料に用いる金属の拡散防止効果を有する
ものを用いる。本実施形態では、配線材料に銅を用いる
こととし、銅の拡散拡散防止効果を有するTaNを成膜
する。成膜条件の一例としては、プロセスガスにAr
〔流量:100cm3/min〕を用い、マグネトロン
スパッタ装置のDC電力を5kW、成膜雰囲気内圧力を
0.4Pa、基板加熱温度を350℃、成膜膜厚を50
nmに設定する。尚、ここでの拡散バリア膜15として
は、窒化チタン、酸窒化チタン、窒化チタンシリコン、
タンタル、酸窒化タンタル、窒化タンタルシリコン、窒
化タングステン、窒化タングステンシリコン、酸窒化タ
ングステン等を用いてもよい。成膜法はCVD法を用い
てもよい。
Next, as shown in FIG. 1 (4), the diffusion barrier film 15 is covered with the first insulating film by magnetron sputtering in a state of covering the inner wall of the wiring groove 13 whose side wall is covered with the side wall layer 14. A film is formed on the film 12. As the diffusion barrier film 15, one having an effect of preventing diffusion of a metal used as a wiring material is used. In the present embodiment, copper is used as the wiring material, and TaN having a copper diffusion preventing effect is formed. As an example of film forming conditions, Ar is used as a process gas.
[Flow rate: 100 cm 3 / min], the DC power of the magnetron sputtering device was 5 kW, the film forming atmosphere pressure was 0.4 Pa, the substrate heating temperature was 350 ° C., and the film thickness was 50
Set to nm. As the diffusion barrier film 15 here, titanium nitride, titanium oxynitride, titanium silicon nitride,
Tantalum, tantalum oxynitride, tantalum silicon nitride, tungsten nitride, tungsten nitride silicon, tungsten oxynitride, or the like may be used. The film forming method may use the CVD method.

【0023】上記拡散バリア膜15の成膜に連続して、
マグネトロンスパッタ法によって、拡散バリア層15の
表面に、電解メッキの下地金属となるメッキシード層
(図示せず)を、ここでは銅により形成する。その成膜
条件の一例として、プロセスガスにAr〔流量:100
cm3/min〕を用い、マグネトロンスパッタ装置の
DC電力を10kW、成膜雰囲気内圧力を0.3Pa、
基板加熱温度を400℃、成膜膜厚を150nmに設定
する。
Following the formation of the diffusion barrier film 15,
A plating seed layer (not shown) serving as a base metal for electrolytic plating is formed on the surface of the diffusion barrier layer 15 here by magnetron sputtering. As an example of the film forming conditions, Ar is used as a process gas [flow rate: 100
cm 3 / min], the DC power of the magnetron sputtering apparatus is 10 kW, the pressure in the film forming atmosphere is 0.3 Pa,
The substrate heating temperature is set to 400 ° C. and the film thickness is set to 150 nm.

【0024】次いで、電解メッキ法により、上記拡散バ
リア膜15の表面に例えば銅からなる金属膜16を堆積
し、側壁がサイドウォール層14で被覆された配線溝1
3に、拡散バリア膜15を介した状態で、金属膜16を
埋め込む。この電解メッキ条件は、一例として、メッキ
液に、硫酸浴を用い、電流密度10mA/cm2の印加
電流を流すことにより、銅を700nm堆積させる。
尚、金属膜16は無電解メッキ法、MOCVD(Metal
Organic CVD)法、スパッタ法によって堆積してもよ
く、埋め込み性を上げるために高温リフロー処理を行っ
てもよい。これらの場合は、上述したようなメッキシー
ド層を成膜しなくてもよい。
Next, a metal film 16 made of, for example, copper is deposited on the surface of the diffusion barrier film 15 by an electrolytic plating method, and the wiring groove 1 whose side wall is covered with the sidewall layer 14 is formed.
3, the metal film 16 is embedded in a state in which the diffusion barrier film 15 is interposed. As an example of the electroplating conditions, a sulfuric acid bath is used as a plating solution, and an applied current having a current density of 10 mA / cm 2 is applied to deposit 700 nm of copper.
The metal film 16 is formed by electroless plating, MOCVD (Metal).
It may be deposited by an organic CVD) method or a sputtering method, and may be subjected to a high temperature reflow treatment in order to improve the embedding property. In these cases, it is not necessary to form the plating seed layer as described above.

【0025】その後、図2(1)に示すように、通常の
CMP法により、第1の絶縁膜12上の余分な金属膜
(16)(メッキシード層も含む)および拡散バリア層
15を研磨により除去して、平坦化処理を行う。このと
きの研磨に用いるスラリーは酸化アルミニウム系で、ス
ラリーとH22を3対1の割合で混合して用いる。この
平坦化処理は少なくともサイドウォール層14の上部が
研磨されるまで行うこととする。そして、配線溝13の
内部に拡散バリア層15を介して金属膜(16)(図示
はしないが、メッキシード層も含む)からなる配線17
を形成する。
After that, as shown in FIG. 2A, the extra metal film (16) (including the plating seed layer) and the diffusion barrier layer 15 on the first insulating film 12 are polished by a normal CMP method. And then planarized. The slurry used for polishing at this time is an aluminum oxide type, and the slurry and H 2 O 2 are mixed and used at a ratio of 3: 1. This flattening process is performed until at least the upper portion of the sidewall layer 14 is polished. Then, a wiring 17 made of a metal film (16) (including a plating seed layer (not shown)) is provided inside the wiring groove 13 via a diffusion barrier layer 15.
To form.

【0026】次に、図2(2)に示すように、マグネト
ロンスパッタ法によって、配線17の酸化防止膜18と
してTaNを、配線17を覆うように第1の絶縁膜12
上に成膜する。成膜条件の一例として、プロセスガスに
Ar〔ガス流量:100cm 3/min〕を用い、マグ
ネトロンスパッタ装置のDC電力を5kW、成膜雰囲気
内圧力を0.4Pa、基板加熱温度を350℃、成膜膜
厚を50nmに設定する。酸化防止膜18としては銅の
酸化防止効果および拡散防止効果を有し、エッチングに
よりパターニング可能な膜を選択する。尚、本実施形態
ではTaNを用いたが、これに限定されるものではな
く、窒化チタン、酸窒化チタン、窒化チタンシリコン、
タンタル、酸窒化タンタル、窒化タンタルシリコン、窒
化タングステン、窒化タングステンシリコン、酸窒化タ
ングステン、窒化シリコン、酸窒化シリコン等を用いて
もよい。また、成膜法はCVD法を用いてもよい。
Next, as shown in FIG. 2B, the magneto
By the long sputtering method, the
Then, TaN is applied to the first insulating film 12 so as to cover the wiring 17.
A film is formed on top. As an example of film formation conditions, process gas
Ar [gas flow rate: 100 cm 3/ Min]
DC power of Netron sputtering equipment is 5kW, film forming atmosphere
Internal pressure 0.4Pa, substrate heating temperature 350 ° C, film formation
Set the thickness to 50 nm. The antioxidant film 18 is made of copper.
Has anti-oxidant effect and anti-diffusion effect, and is suitable for etching
Select a film that can be more patterned. This embodiment
Then, TaN was used, but it is not limited to this.
Titanium nitride, titanium oxynitride, titanium silicon nitride,
Tantalum, tantalum oxynitride, tantalum silicon nitride, nitrogen
Tungsten oxide, silicon nitride silicon, oxynitride
Using tungsten, silicon nitride, silicon oxynitride, etc.
Good. Further, a CVD method may be used as the film forming method.

【0027】次に、レジストパターン(図示せず)をマ
スクに用いた反応性エッチングによって、配線17上の
みに酸化防止膜18が残るように、配線17上以外の酸
化防止膜18を除去する。その後、レジストパターンを
アッシング除去する。尚、ここでは配線17を覆うよう
に第1の絶縁膜12上全面に形成した酸化防止膜18を
パターニングすることで、配線17上にのみ酸化防止膜
18を残すこととした。しかし、配線17表面におい
て、自己整合的に酸化防止膜18を形成するような膜を
第1の絶縁膜12上全面に形成し、配線17表面に酸化
防止膜18を形成させた後、この膜を除去することで、
配線17上にのみ酸化防止膜18を作るようにしてもよ
い。この場合、酸化防止膜18のパターニングを行う必
要はない。
Next, the antioxidant film 18 other than on the wiring 17 is removed by reactive etching using a resist pattern (not shown) as a mask so that the antioxidant film 18 remains only on the wiring 17. Then, the resist pattern is removed by ashing. Here, the anti-oxidation film 18 formed on the entire surface of the first insulating film 12 so as to cover the wiring 17 is patterned so that the anti-oxidation film 18 is left only on the wiring 17. However, on the surface of the wiring 17, a film that forms the oxidation preventing film 18 in a self-aligned manner is formed on the entire surface of the first insulating film 12, and after the oxidation preventing film 18 is formed on the surface of the wiring 17, this film is formed. By removing
The antioxidant film 18 may be formed only on the wiring 17. In this case, it is not necessary to pattern the antioxidant film 18.

【0028】次に、図2(3)に示すように、サイドウ
ォール層(14)を反応性エッチングにより選択的に除
去する。反応性エッチング条件の一例としては、エッチ
ングガスにO2〔流量:3000cm3/min〕を用
い、RF電力を2500W、エッチング雰囲気内圧力を
200Pa、基板加熱温度を220℃に設定する。これ
により、配線溝13の側壁と拡散バリア膜15で被服さ
れた配線17との間に、一定幅w(ここでは、100n
m)の空間aを設ける。
Next, as shown in FIG. 2C, the sidewall layer (14) is selectively removed by reactive etching. As an example of the reactive etching conditions, O 2 [flow rate: 3000 cm 3 / min] is used as an etching gas, RF power is 2500 W, etching atmosphere pressure is 200 Pa, and substrate heating temperature is 220 ° C. As a result, a constant width w (here, 100 n is provided between the sidewall of the wiring groove 13 and the wiring 17 covered with the diffusion barrier film 15).
The space a of m) is provided.

【0029】ここで、図2(3)の状態のA−A´断面
図を図3に示す。この図に示したように、第1の絶縁膜
12に形成された配線溝13の内部には、拡散バリア膜
15に被覆された配線17が配線溝13の側壁に対して
一定幅wの空間aを有して設けられている。尚、この空
間aは配線溝13の全周にわたり、長辺方向だけでなく
短辺方向にも設けられていることとする。
Here, FIG. 3 is a sectional view taken along the line AA 'in the state of FIG. As shown in this figure, inside the wiring groove 13 formed in the first insulating film 12, the wiring 17 covered with the diffusion barrier film 15 has a space of a constant width w with respect to the sidewall of the wiring groove 13. a is provided. The space a is provided not only in the long side direction but also in the short side direction over the entire circumference of the wiring groove 13.

【0030】そして、再び、図2(3)に示すように、
本発明に特徴的なこの空間aは、第1の絶縁膜12の実
質的な比誘電率を低下させることができるので、空間a
の幅wを広く形成するのが好ましい。しかし、この空間
aの幅wは配線17の幅に対する配線溝13の開口幅に
より決まることから、配線17が密に配置されている部
分では、空間aの幅wを広げすぎると、配線17間にお
ける第1の絶縁膜12の幅が狭くなり、第1の絶縁膜1
2に配線溝13を形成する際のパターニングが困難にな
る。さらに、この空間aの幅wおよび高さを維持しなが
ら、第1の絶縁膜12の上層を形成することが困難にな
り、得られる半導体装置の機械的強度も低下する。した
がって、このような問題の生じない範囲で、空間aの幅
w、すなわち、配線17の幅に対する配線溝13の開口
幅を調整する。
Then, again, as shown in FIG.
This space a, which is characteristic of the present invention, can reduce the substantial relative dielectric constant of the first insulating film 12, so that the space a
It is preferable to form the width w of the same as wide. However, since the width w of the space a is determined by the opening width of the wiring groove 13 with respect to the width of the wiring 17, if the width w of the space a is too wide in the portion where the wiring 17 is densely arranged, the space between the wirings 17 is increased. The width of the first insulating film 12 in the
Patterning at the time of forming the wiring groove 13 in 2 becomes difficult. Further, it becomes difficult to form the upper layer of the first insulating film 12 while maintaining the width w and the height of the space a, and the mechanical strength of the obtained semiconductor device also decreases. Therefore, the width w of the space a, that is, the opening width of the wiring groove 13 with respect to the width of the wiring 17 is adjusted within a range where such a problem does not occur.

【0031】ここではドライエッチングにより、サイド
ウォール層(14)を除去することとしたが、ウェット
エッチングで除去してもよく、除去方法は、サイドウォ
ール層(14)の材質により適宜選択されるものとす
る。また、サイドウォール層(14)が前工程における
酸化防止膜18のパターニングに用いるレジストと一緒
にエッチング除去できるような材質で形成されている場
合には、サイドウォール層(14)と一緒に酸化防止膜
18の加工後のレジストパターンを除去することによっ
て、製造工程を簡略化することも可能である。
Although the sidewall layer (14) is removed by dry etching here, it may be removed by wet etching, and the removing method is appropriately selected depending on the material of the sidewall layer (14). And Further, when the sidewall layer (14) is formed of a material that can be removed by etching together with the resist used for patterning the antioxidant film 18 in the previous step, the sidewall layer (14) and the anti-oxidation agent can be used together. It is also possible to simplify the manufacturing process by removing the resist pattern after processing the film 18.

【0032】次に、図2(4)に示すように、CVD法
によって、酸化防止膜18を覆う状態で、エッチングス
トッパーとして機能する中間絶縁膜19、例えば、窒化
シリコンを第1の絶縁膜12上に成膜する。ここで、中
間絶縁膜19は、配線溝13の側壁と拡散バリア膜15
に被覆された配線17との間に設けられた空間aの幅w
や高さを維持した状態で、第1の絶縁膜12上に成膜さ
れる。このため、成膜時のカバレッジを低下させて、中
間絶縁膜19を配線17上の酸化防止膜18と第1の絶
縁膜12との間に架け渡すように形成する。
Next, as shown in FIG. 2 (4), an intermediate insulating film 19 functioning as an etching stopper, for example, silicon nitride, is covered with the first insulating film 12 by the CVD method while covering the antioxidant film 18. A film is formed on top. Here, the intermediate insulating film 19 is formed on the sidewall of the wiring groove 13 and the diffusion barrier film 15.
The width w of the space a provided between the wiring 17 and the wiring 17
A film is formed on the first insulating film 12 in a state where the height is maintained. Therefore, the coverage at the time of film formation is reduced, and the intermediate insulating film 19 is formed so as to bridge over the antioxidant film 18 on the wiring 17 and the first insulating film 12.

【0033】このような中間絶縁膜19の成膜条件の一
例としては、プロセスガスにN2〔流量:4000cm3
/min〕とSiH4〔流量:80cm3/min〕とア
ンモニア(NH3)〔流量:15cm3/min〕を用
い、CVD装置のRF電力を850W、成膜雰囲気内圧
力を570Pa、基板加熱温度を350℃、成膜膜厚を
50nmに設定する。尚、中間絶縁膜19は配線17か
らの銅の拡散防止効果も有しているものとする。また、
中間絶縁膜19の比誘電率が高い場合には、容量の高い
パスになることを防ぐために、パターニングしてパスを
切ってもよい。中間絶縁膜19は窒化シリコンに限定さ
れず、銅の拡散を防ぎ、後の工程で接続孔を形成する際
のエッチングストッパーとなるものであればよい。具体
的には、炭化シリコン、酸炭化シリコン、酸窒化シリコ
ン、酸化シリコン等で構成してもよい。また、中間絶縁
膜19をマグネトロンスパッタ法によって成膜してもよ
い。
An example of the film forming conditions for the intermediate insulating film 19 is that the process gas contains N 2 [flow rate: 4000 cm 3
/ Min] and SiH 4 [flow rate: 80 cm 3 / min] and ammonia (NH 3 ) [flow rate: 15 cm 3 / min], RF power of the CVD apparatus is 850 W, film formation atmosphere pressure is 570 Pa, and substrate heating temperature is Is set to 350 ° C. and the film thickness is set to 50 nm. The intermediate insulating film 19 also has an effect of preventing diffusion of copper from the wiring 17. Also,
When the relative dielectric constant of the intermediate insulating film 19 is high, the pass may be cut by patterning in order to prevent the pass from having a high capacitance. The intermediate insulating film 19 is not limited to silicon nitride, and may be any film that prevents diffusion of copper and serves as an etching stopper when forming a connection hole in a later step. Specifically, it may be made of silicon carbide, silicon oxycarbide, silicon oxynitride, silicon oxide, or the like. Further, the intermediate insulating film 19 may be formed by a magnetron sputtering method.

【0034】そして、図4(1)に示すように、中間絶
縁膜19上に第2の絶縁膜20を形成する。第2の絶縁
膜20は、例えば、酸化シリコンからなり、図1(1)
を用いて説明した第1の絶縁膜12と同一の成膜条件に
よって、600nm成膜する。
Then, as shown in FIG. 4A, a second insulating film 20 is formed on the intermediate insulating film 19. The second insulating film 20 is made of, for example, silicon oxide, and is formed as shown in FIG.
A film having a thickness of 600 nm is formed under the same film forming conditions as those for the first insulating film 12 described using.

【0035】次に、CVD法によって、第2の絶縁膜2
0上に例えば、窒化シリコンからなる中間絶縁膜21を
50nm成膜する。尚、図2(4)を用いて説明した中
間絶縁膜19と同一の成膜条件で成膜することとする。
Next, the second insulating film 2 is formed by the CVD method.
An intermediate insulating film 21 made of, for example, silicon nitride is formed to a thickness of 50 nm on 0. The intermediate insulating film 19 described with reference to FIG. 2D is formed under the same film forming conditions.

【0036】続いて、第3の絶縁膜22を中間絶縁膜2
1上に形成する。第3の絶縁膜22は、例えば、酸化シ
リコンからなり、図1(1)を用いて説明した第1の絶
縁膜12と同一の成膜条件によって、400nm成膜す
る。
Subsequently, the third insulating film 22 is replaced with the intermediate insulating film 2.
Form on 1. The third insulating film 22 is made of, for example, silicon oxide, and is formed to a thickness of 400 nm under the same film forming conditions as the first insulating film 12 described with reference to FIG.

【0037】そして、図4(2)に示すように、レジス
トパターン(図示せず)をマスクに用いた反応性エッチ
ングによって、第3の絶縁膜22に配線溝24を形成し
た後、接続孔23を形成するためのレジストパターン
(図示せず)をマスクに用いて反応性エッチングを行う
ことにより、中間絶縁膜21、第2の絶縁膜20を順次
エッチング除去して、配線溝24の底部に連通する接続
孔23を第2の絶縁膜20に形成する。この際、中間絶
縁膜19はエッチングストッパーとして機能する。ここ
で、配線溝24および接続孔23の開口幅は、配線溝2
4の内部に形成される配線および接続孔23の内部に形
成されるビアの所望の幅よりも、それぞれ一定幅(片側
100nm程度)広く形成する
Then, as shown in FIG. 4B, a wiring groove 24 is formed in the third insulating film 22 by reactive etching using a resist pattern (not shown) as a mask, and then the connection hole 23 is formed. The intermediate insulating film 21 and the second insulating film 20 are sequentially removed by etching by using a resist pattern (not shown) for forming the mask as a mask to communicate with the bottom of the wiring groove 24. The connection hole 23 is formed in the second insulating film 20. At this time, the intermediate insulating film 19 functions as an etching stopper. Here, the opening widths of the wiring groove 24 and the connection hole 23 are equal to each other.
The wiring formed inside 4 and the via formed inside the connection hole 23 are formed to have a certain width (about 100 nm on each side) wider than a desired width.

【0038】尚、ここでは、第2の絶縁膜20上に中間
絶縁膜21、第3の絶縁膜22を形成し、第3の絶縁膜
22に配線溝24を形成した後、第2の絶縁膜20に接
続孔23を形成した。しかし、この方法に限定されるも
のではなく、第2の絶縁膜20上に中間絶縁膜21を形
成した後に、中間絶縁膜21を第2の絶縁膜20に接続
孔23を形成する際のマスクとなるようにパターニング
して、その後、第2の絶縁膜20上に第3の絶縁膜22
を形成し、配線溝24を形成するためのレジストパター
ンをマスクに用いて反応性エッチングを行うことによ
り、第3の絶縁膜22と一緒に第2の絶縁膜20を除去
して、配線溝24と接続孔23を形成してもよい。
Here, the intermediate insulating film 21 and the third insulating film 22 are formed on the second insulating film 20, the wiring groove 24 is formed in the third insulating film 22, and then the second insulating film 22 is formed. A connection hole 23 was formed in the film 20. However, the method is not limited to this method, and a mask used when the intermediate insulating film 21 is formed on the second insulating film 20 and then the intermediate insulating film 21 is formed with the connection hole 23 in the second insulating film 20. So that the third insulating film 22 is formed on the second insulating film 20.
And the second insulating film 20 is removed together with the third insulating film 22 by performing reactive etching using the resist pattern for forming the wiring groove 24 as a mask. The connection hole 23 may be formed.

【0039】続いて、図4(3)に示すように、サイド
ウォール層28を配線溝24および接続孔23の内壁を
覆う状態で形成する。サイドウォール層28は、例え
ば、アモルファスカーボンフッ素からなり、図1(1)
を用いて説明したサイドウォール層(14)と同一の成
膜条件により、配線溝24および接続孔23の側壁にお
ける膜厚が100nmとなるように成膜する。そして、
反応性エッチングによって、サイドウォール層28が配
線溝24および接続孔23の側壁を被覆するように、サ
イドウォール層28をエッチバック除去する。
Subsequently, as shown in FIG. 4C, the sidewall layer 28 is formed so as to cover the inner walls of the wiring groove 24 and the connection hole 23. The sidewall layer 28 is made of, for example, amorphous carbon fluorine, and is formed as shown in FIG.
Under the same film forming conditions as the side wall layer (14) described with reference to, the film is formed to have a film thickness of 100 nm on the side walls of the wiring groove 24 and the connection hole 23. And
By reactive etching, the sidewall layer 28 is etched back and removed so that the sidewall layer 28 covers the sidewalls of the wiring trench 24 and the connection hole 23.

【0040】次いで、レジストパターン(図示せず)を
マスクに用いた反応性エッチングにより、接続孔23の
底部の中間絶縁膜19を除去する。ここで、反応性エッ
チング条件の一例として、エッチングガスにAr〔流
量:100cm3/min〕とO2〔流量:20cm3
min〕と3フッ化メタン(CHF3)〔流量:20c
3/min〕とを用い、RF電力を520W、エッチ
ング雰囲気内圧力を5.7Paに設定する。
Then, the intermediate insulating film 19 at the bottom of the connection hole 23 is removed by reactive etching using a resist pattern (not shown) as a mask. Here, as an example of the reactive etching conditions, Ar [flow rate: 100 cm 3 / min] and O 2 [flow rate: 20 cm 3 /
min] and trifluoromethane (CHF 3 ) [flow rate: 20 c
m 3 / min], the RF power is set to 520 W and the etching atmosphere pressure is set to 5.7 Pa.

【0041】そして、図5(1)に示すように、マグネ
トロンスパッタ法により、拡散バリア膜29とメッキシ
ード層(図示せず)をサイドウォール層28が形成され
た配線溝24と接続孔23に成膜する。ここで、例え
ば、拡散バリア膜29はTaN、とメッキシード層は銅
からなり、図1(4)を用いて説明した拡散バリア膜1
5とメッキシード層と同一の成膜条件によって、成膜す
る。その後、電解メッキ法により上記の表面に例えば、
銅からなる金属膜(図示せず)を堆積して、側壁がサイ
ドウォール層28で被覆された配線溝24と接続孔23
に、拡散バリア膜29を介した状態で金属膜を埋め込
み、余分な金属膜をCMP法により除去して平坦化す
る。このようにして、配線溝24および接続孔23に金
属膜からなる配線27とビア26をそれぞれ形成する。
ここでの電解メッキ条件および、CMPの条件は、図1
(4)および図2(1)を用いて説明した配線17の形
成と同一条件で行うこととする。
Then, as shown in FIG. 5A, a diffusion barrier film 29 and a plating seed layer (not shown) are formed in the wiring groove 24 and the connection hole 23 where the sidewall layer 28 is formed by magnetron sputtering. Form a film. Here, for example, the diffusion barrier film 29 is made of TaN and the plating seed layer is made of copper, and the diffusion barrier film 1 described with reference to FIG.
5 and the plating seed layer are formed under the same film forming conditions. After that, for example, on the above surface by an electrolytic plating method,
A metal film (not shown) made of copper is deposited to form a wiring groove 24 and a connection hole 23 whose sidewalls are covered with a sidewall layer 28.
Then, a metal film is embedded with the diffusion barrier film 29 interposed therebetween, and the excess metal film is removed by the CMP method to be planarized. In this way, the wiring 27 and the via 26 made of a metal film are formed in the wiring groove 24 and the connection hole 23, respectively.
The electrolytic plating conditions and CMP conditions here are as shown in FIG.
It is assumed that the wiring 17 is formed under the same conditions as described in (4) and FIG.

【0042】次に、図5(2)に示すように、酸化防止
膜30を配線27上に成膜する。酸化防止膜30は、例
えば、TaNからなり、図2(2)を用いて説明した酸
化防止膜18と同一の成膜条件によって成膜する。その
後、酸化防止膜18と同様の方法により、レジストパタ
ーンをマスクに用いた反応性エッチングにより、配線2
7上以外の酸化防止膜30を除去する。そして、サイド
ウォール層28を反応性エッチングにより、選択的に除
去する。これにより、配線溝24および接続孔23の側
壁と、拡散バリア膜29で被覆された、配線27および
ビア26との間に、それぞれ一定幅(ここでは、100
nm)の空間bおよびcが設けられる。
Next, as shown in FIG. 5B, an anti-oxidation film 30 is formed on the wiring 27. The antioxidant film 30 is made of, for example, TaN, and is formed under the same film formation conditions as the antioxidant film 18 described with reference to FIG. After that, the wiring 2 is formed by reactive etching using the resist pattern as a mask in the same manner as the oxidation preventive film 18.
The anti-oxidation film 30 other than on 7 is removed. Then, the sidewall layer 28 is selectively removed by reactive etching. As a result, a constant width (here, 100) is provided between the sidewalls of the wiring groove 24 and the connection hole 23 and the wiring 27 and the via 26 covered with the diffusion barrier film 29.
nm) spaces b and c are provided.

【0043】尚、配線溝24と接続孔23とが段差を有
して形成されている場合には、接続孔23の側壁に形成
されたサイドウォール層28は、拡散バリア膜29によ
り上部を被覆された状態となることから、構造上エッチ
ングにより除去することができない。このような場合に
は、サイドウォール層28が残存しても配線間容量が増
大しないように、サイドウォール層28に比誘電率が比
較的低く、また、熱処理などにより脱ガス等の悪影響を
起こさない材料を選択すればよい。
When the wiring groove 24 and the connection hole 23 are formed to have a step, the side wall layer 28 formed on the side wall of the connection hole 23 covers the upper portion with the diffusion barrier film 29. As a result, the structure cannot be removed by etching. In such a case, the relative dielectric constant of the sidewall layer 28 is relatively low so that the inter-wiring capacitance does not increase even if the sidewall layer 28 remains, and adverse effects such as degassing occur due to heat treatment or the like. Select a material that does not exist.

【0044】続いて、図6に示すように、酸化防止膜3
0に被覆された配線27を覆う状態で、第3の絶縁膜2
2上に中間絶縁膜31を成膜する。中間絶縁膜31は、
例えば、窒化シリコンからなり、図2(4)を用いて説
明した中間絶縁膜19と同一の成膜条件により、成膜す
る。さらに上層の銅配線を形成する場合には前記プロセ
ス(第2の絶縁膜20を形成する工程から)の繰り返し
となる。
Subsequently, as shown in FIG. 6, the antioxidant film 3 is formed.
In the state where the wiring 27 covered with 0 is covered, the third insulating film 2
An intermediate insulating film 31 is formed on the surface 2. The intermediate insulating film 31 is
For example, it is made of silicon nitride and is formed under the same film forming conditions as the intermediate insulating film 19 described with reference to FIG. Further, in the case of forming an upper layer copper wiring, the above process (from the step of forming the second insulating film 20) is repeated.

【0045】このような半導体装置の製造方法において
は、トランジスタ特性に影響を与えないようにするた
め、プロセス中の成膜やエッチングの際の基板加熱温度
は低い方が好ましく、特に、金属膜16に銅を用いた場
合には、銅の拡散防止とストレスマイグレーションによ
る断線を防ぐため、プロセス中の温度を400℃以下に
することが好ましい。
In such a semiconductor device manufacturing method, in order to prevent the transistor characteristics from being affected, it is preferable that the substrate heating temperature during film formation or etching during the process is low, and in particular, the metal film 16 is preferable. When copper is used as the material, the temperature during the process is preferably 400 ° C. or lower in order to prevent copper diffusion and disconnection due to stress migration.

【0046】上述したような半導体装置の製造方法によ
れば、第1の絶縁膜12に形成された配線溝13の側壁
をサイドウォール層14で被覆し、拡散バリア膜15を
介して配線17を形成した後、サイドウォール層14を
除去する。これにより、配線溝13の側壁とその内部に
形成されて拡散バリア膜15に被覆された配線17との
間には、サイドウォール層14の膜厚に対応した、一定
幅w(ここでは100nm)の空間aが自己整合的に設
けられる。この空間aは配線17の全周にわたって形成
される。
According to the method of manufacturing a semiconductor device as described above, the sidewall of the wiring groove 13 formed in the first insulating film 12 is covered with the sidewall layer 14, and the wiring 17 is formed via the diffusion barrier film 15. After the formation, the sidewall layer 14 is removed. As a result, a constant width w (here, 100 nm) corresponding to the film thickness of the sidewall layer 14 is provided between the sidewall of the wiring groove 13 and the wiring 17 formed therein and covered with the diffusion barrier film 15. Is provided in a self-aligned manner. This space a is formed over the entire circumference of the wiring 17.

【0047】このような製造方法およびこれにより得ら
れる半導体装置においては、パターニング等の工程数を
増やさなくても、配線溝13の側壁と配線17との間に
一定幅wの空間aを設けることができることから、第1
の絶縁膜12が比較的比誘電率の高い酸化シリコンによ
り形成されていても、第1の絶縁膜12の実質的な比誘
電率を低下させることができ、配線間容量を低減させる
ことができる。さらに、本実施形態では、第1の絶縁膜
12に酸化シリコンを用いていることから、配線材料を
被覆する拡散バリア膜15との密着性や、第1の絶縁膜
12の加工性に優れるとともに、製造工程におけるCM
P等のストレスに対しても十分な機械的強度を有する半
導体装置を得ることができる。
In such a manufacturing method and the semiconductor device obtained thereby, a space a having a constant width w is provided between the side wall of the wiring groove 13 and the wiring 17 without increasing the number of steps such as patterning. Because it is possible,
Even if the insulating film 12 is formed of silicon oxide having a relatively high relative permittivity, the substantial relative permittivity of the first insulating film 12 can be reduced, and the inter-wiring capacitance can be reduced. . Furthermore, in the present embodiment, since silicon oxide is used for the first insulating film 12, the adhesion with the diffusion barrier film 15 that covers the wiring material and the workability of the first insulating film 12 are excellent. , CM in the manufacturing process
It is possible to obtain a semiconductor device having sufficient mechanical strength against stress such as P.

【0048】また、配線溝13の側壁と配線17との間
に一定幅wの空間aが自己整合的に設けられることか
ら、第1の絶縁膜12に配線17が疎密を有して配置さ
れている場合において、疎である部分の配線17間に
は、第1の絶縁膜12が幅広く形成されることになり、
配線層間の支柱として寄与することから、パターニング
工程を増やすことなく、機械的強度を保つことができ
る。同一層間における配線17が均一に配置されている
場合には配線間容量を均一にすることが可能であり、配
線間容量の偏りを防ぐことができる。
Since the space a having a constant width w is provided between the side wall of the wiring groove 13 and the wiring 17 in a self-aligned manner, the wiring 17 is sparsely and densely arranged on the first insulating film 12. In such a case, the first insulating film 12 is formed widely between the sparse portions of the wiring 17.
Since it contributes as a pillar between wiring layers, mechanical strength can be maintained without increasing the patterning process. When the wirings 17 in the same layer are uniformly arranged, it is possible to make the inter-wiring capacitance uniform and prevent the inter-wiring capacitance from becoming uneven.

【0049】さらに、本実施形態においては、第2の絶
縁膜20に形成される接続孔23の側壁とその内部に形
成されるビア26との間にも、空間cを設けることがで
きることから、第2の絶縁膜20の比誘電率を低下させ
ることができ、配線間容量をさらに低減させることが可
能である。尚、ここでは、第1実施形態における作用
を、第1の絶縁膜12に形成される配線溝13と配線1
7を例として説明したが、第3の絶縁膜22に形成され
る配線溝24と配線27においても、同様の作用を得る
ことができる。
Further, in this embodiment, the space c can be provided between the side wall of the connection hole 23 formed in the second insulating film 20 and the via 26 formed therein, The relative permittivity of the second insulating film 20 can be reduced, and the inter-wiring capacitance can be further reduced. Here, the operation of the first embodiment is the same as that of the wiring groove 13 and the wiring 1 formed in the first insulating film 12.
7 has been described as an example, the same effect can be obtained also in the wiring groove 24 and the wiring 27 formed in the third insulating film 22.

【0050】ここで、半導体装置において配線間の3分
の2を、上述したような、配線溝の側壁と配線との間に
一定幅の空間が設けられた構造(エアギャップ構造)と
し、絶縁膜に比誘電率が約4.1の酸化シリコンを用い
た場合の配線間容量についてのシミュレーションを行っ
たところ、この空間の比誘電率は約1であることから、
絶縁膜の実質的な比誘電率は1.4となり、酸化シリコ
ンの比誘電率と比較して、著しく低下することが確認さ
れた。
Here, in the semiconductor device, two-thirds of the wirings have the structure (air gap structure) in which a space having a constant width is provided between the side wall of the wiring groove and the wiring as described above, and insulation is performed. A simulation of the inter-wiring capacitance when silicon oxide with a relative dielectric constant of about 4.1 was used showed that the relative dielectric constant of this space was about 1.
The substantial relative permittivity of the insulating film was 1.4, which was confirmed to be significantly lower than that of silicon oxide.

【0051】上述したように、第1実施形態において
は、絶縁膜に比較的比誘電率の高い酸化シリコンを用い
た例について説明したが、絶縁膜として、TEOS(tet
raethoxy silane)酸化膜、酸フッ化シリコン膜、CVD
法による酸炭化シリコン膜、有機SOG(slip on glas
s)膜等の低誘電率絶縁膜を用いれば、さらに絶縁膜の
比誘電率を低減することが可能であり、配線間容量を低
減することができる。
As described above, in the first embodiment, an example in which silicon oxide having a relatively high relative dielectric constant is used for the insulating film has been described. However, as the insulating film, TEOS (tet
raethoxy silane) oxide film, silicon oxyfluoride film, CVD
Oxycarbide film, organic SOG (slip on glass)
If a low dielectric constant insulating film such as s) film is used, the relative dielectric constant of the insulating film can be further reduced, and the inter-wiring capacitance can be reduced.

【0052】(第2実施形態)図7に本発明の第2実施
形態における半導体装置の断面図を示す。第1実施形態
においては、第3の絶縁膜22に形成された配線溝24
および配線溝24の底部に連通する接続孔23の内部
に、同一工程において金属膜を埋め込むことにより配線
27とビア26とを形成したが、第2実施形態において
は、配線27とビア26とを別工程で形成する方法につ
いて説明する。ただし、中間絶縁膜19上に第2の絶縁
膜20を形成するまでの工程までは第1実施形態と同様
の方法で行うこととし、省略する。また、その後の工程
についても成膜条件等については、第1実施形態と同様
であることから省略することとする。
(Second Embodiment) FIG. 7 shows a sectional view of a semiconductor device according to a second embodiment of the present invention. In the first embodiment, the wiring groove 24 formed in the third insulating film 22.
The wiring 27 and the via 26 are formed by embedding a metal film in the same step in the connection hole 23 communicating with the bottom of the wiring groove 24. However, in the second embodiment, the wiring 27 and the via 26 are formed. A method of forming in another step will be described. However, the steps up to the formation of the second insulating film 20 on the intermediate insulating film 19 are performed by the same method as in the first embodiment, and will be omitted. The film forming conditions and the like in the subsequent steps are the same as those in the first embodiment, and therefore will be omitted.

【0053】まず、第2の絶縁膜20および中間絶縁膜
19をレジストパターン(図示せず)を用いた反応性エ
ッチングにより除去し、酸化防止膜18に被覆された配
線17に達するような接続孔23を形成する。次に、接
続孔23の内部を覆う状態で、拡散バリア膜32および
メッキシード層(図示せず)を順に成膜し、例えば電解
メッキ法により、上記の表面に銅からなる金属膜を堆積
して、接続孔23を銅で埋め込み、金属膜(図示せず)
を形成し、余分な金属膜をCMP法により除去して平坦
化する。このようにして、ビア26を形成する。ここで
は、接続孔23の側壁とビア26との間に、第1実施形
態で設けたような空間cを設けていないが、空間cを形
成してもよい。ただし、製造工程を簡略化する場合に
は、空間cは形成しなくてよい。
First, the second insulating film 20 and the intermediate insulating film 19 are removed by reactive etching using a resist pattern (not shown), and a connection hole reaching the wiring 17 covered with the antioxidant film 18 is formed. 23 is formed. Next, a diffusion barrier film 32 and a plating seed layer (not shown) are sequentially formed in a state of covering the inside of the connection hole 23, and a metal film made of copper is deposited on the surface by, for example, an electrolytic plating method. The contact hole 23 with copper and a metal film (not shown).
Is formed, and the excess metal film is removed by CMP to planarize. In this way, the via 26 is formed. Here, although the space c provided in the first embodiment is not provided between the sidewall of the connection hole 23 and the via 26, the space c may be formed. However, when the manufacturing process is simplified, the space c does not have to be formed.

【0054】その後、ビア26上を覆うように中間絶縁
膜21を第2の絶縁膜20上に堆積し、その上に第3の
絶縁膜22を成膜する。そして、ビア26の上層の第3
の絶縁膜22に配線溝24を形成し、配線溝24の内部
をサイドウォール層33で被覆し、配線溝24の側壁の
みがサイドウォール層(図示せず)で被覆されるよう
に、他の部分をエッチングバック除去する。
After that, the intermediate insulating film 21 is deposited on the second insulating film 20 so as to cover the vias 26, and the third insulating film 22 is formed thereon. Then, the third upper layer of the via 26
A wiring groove 24 is formed in the insulating film 22 and the inside of the wiring groove 24 is covered with a sidewall layer 33, and only the side wall of the wiring groove 24 is covered with a sidewall layer (not shown). The part is etched back and removed.

【0055】次に、配線溝24の底部の中間絶縁膜21
もエッチング除去し、サイドウォール層28で側壁が被
覆された配線溝24の内部に拡散バリア膜33を成膜す
る。この拡散バリア膜33はビア26の酸化防止膜とし
ても機能することから、ビア26の上層には特に酸化防
止膜を形成しなくてもよい。この後の工程は、第1実施
形態において図1(4)を用いて説明した配線17の形
成と同様に行うこととし、省略する。
Next, the intermediate insulating film 21 on the bottom of the wiring groove 24.
Is also removed by etching, and a diffusion barrier film 33 is formed inside the wiring groove 24 whose side wall is covered with the side wall layer 28. Since the diffusion barrier film 33 also functions as an antioxidant film for the via 26, it is not necessary to form an antioxidant film on the via 26. Subsequent steps are the same as the formation of the wiring 17 described with reference to FIG. 1D in the first embodiment, and will be omitted.

【0056】上述したような製造方法により得られる半
導体装置は、第1実施形態と同様に、配線溝13の側壁
とその内部に形成された配線17との間には、配線17
の全周にわたって、サイドウォール層(14)の膜厚に
対応した、一定幅(ここでは100nm)の空間aが自
己整合的に設けられることから、同一層間の配線間容量
において第1実施形態と同様の効果を奏する。
In the semiconductor device obtained by the manufacturing method as described above, the wiring 17 is provided between the sidewall of the wiring groove 13 and the wiring 17 formed therein, as in the first embodiment.
Since a space a having a constant width (here, 100 nm) corresponding to the film thickness of the sidewall layer (14) is provided in a self-aligned manner over the entire circumference of, the capacitance between wirings in the same layer as in the first embodiment is different. Has the same effect.

【0057】[0057]

【発明の効果】以上説明したように、本発明の請求項1
記載の半導体装置の製造方法によれば、配線溝の側壁と
配線との間に一定幅の空間を、自己整合的に設けること
ができる。このような方法により、パターニング等の工
程数を増やさなくても、絶縁膜に一定幅の空間を設ける
ことが可能であり、絶縁膜の実質的な比誘電率を低下さ
せ、配線間容量を低減することができる。これにより、
低誘電率絶縁膜を用いなくても、配線間容量を低減する
ことができることから、低誘電率絶縁膜を用いた場合に
生じる種々の問題を回避でき、絶縁膜に比誘電率の高い
酸化シリコン等を用いることで、拡散バリア膜との密着
性や絶縁膜の加工性に優れるとともに、十分な機械的強
度を有する半導体装置を得ることが可能である。
As described above, according to the first aspect of the present invention.
According to the method of manufacturing a semiconductor device described above, a space having a constant width can be provided in a self-aligned manner between the sidewall of the wiring groove and the wiring. With such a method, it is possible to provide a space of a certain width in the insulating film without increasing the number of steps such as patterning, which lowers the relative dielectric constant of the insulating film and reduces the inter-wiring capacitance. can do. This allows
Since the inter-wiring capacitance can be reduced without using a low-dielectric-constant insulating film, various problems that occur when a low-dielectric-constant insulating film is used can be avoided, and silicon oxide having a high relative dielectric constant can be used as the insulating film. It is possible to obtain a semiconductor device having excellent mechanical strength as well as excellent adhesion to the diffusion barrier film and workability of the insulating film by using the above materials.

【0058】また、本発明の請求項2記載の半導体装置
の製造方法によれば、配線溝だけでなく、接続孔の側壁
とビアの間にも空間を設けることができることから、絶
縁膜の実質的な比誘電率をさらに低下させ、配線間容量
をさらに低減することができる。
Further, according to the method of manufacturing a semiconductor device according to the second aspect of the present invention, not only the wiring groove but also a space can be provided between the sidewall of the connection hole and the via, so that the insulating film is substantially formed. It is possible to further reduce the relative dielectric constant and further reduce the inter-wiring capacitance.

【0059】本発明の請求項3記載の半導体装置によれ
ば、配線溝の側壁と配線との間に一定幅の空間が設けら
れていることから、第1の絶縁膜が比較的比誘電率の高
い材質の膜が用いられた場合においても、配線間容量を
低減させることができる。したがって、配線遅延を抑制
することができ、デバイススピードをあげることができ
る。また、上記の空間が一定幅で設けられていることに
より、配線が均一に設けられている場合には、同一層間
における配線間容量を均一にすることが可能である。
According to the semiconductor device of the third aspect of the present invention, since the space having a constant width is provided between the side wall of the wiring groove and the wiring, the first insulating film has a relatively high dielectric constant. Even when a film made of a high-quality material is used, the inter-wiring capacitance can be reduced. Therefore, the wiring delay can be suppressed and the device speed can be increased. Further, since the space is provided with a constant width, it is possible to make the capacitance between wirings uniform in the same layer when the wirings are evenly provided.

【図面の簡単な説明】[Brief description of drawings]

【図1】第1実施形態における半導体装置の製造方法を
説明するための製造工程断面図(その1)である。
FIG. 1 is a manufacturing process sectional view (1) for explaining a method for manufacturing a semiconductor device according to a first embodiment;

【図2】第1実施形態における半導体装置の製造方法を
説明するための製造工程断面図(その2)である。
FIG. 2 is a manufacturing process sectional view (2) for explaining the method for manufacturing the semiconductor device according to the first embodiment;

【図3】第1実施形態における半導体装置の製造工程の
一工程における断面図である。
FIG. 3 is a sectional view in a step of the manufacturing process of the semiconductor device according to the first embodiment.

【図4】第1実施形態における半導体装置の製造方法を
説明するための製造工程断面図(その3)である。
FIG. 4 is a manufacturing process sectional view (3) for explaining the method for manufacturing the semiconductor device according to the first embodiment;

【図5】第1実施形態における半導体装置の製造方法を
説明するための製造工程断面図(その4)である。
FIG. 5 is a manufacturing process sectional view (4) for explaining the method for manufacturing the semiconductor device according to the first embodiment;

【図6】第1実施形態における半導体装置の製造方法を
説明するための製造工程断面程図(その5)である。
FIG. 6 is a manufacturing step cross-sectional view (No. 5) for explaining the manufacturing method of the semiconductor device according to the first embodiment.

【図7】本発明の変形例における半導体装置を説明する
ための断面図である。
FIG. 7 is a cross-sectional view illustrating a semiconductor device according to a modified example of the present invention.

【符号の説明】[Explanation of symbols]

11…基板、12…第1の絶縁膜、13,24…配線
溝、14,28…サイドウォール層、17,27…配
線、20…第2の絶縁膜、22…第3の絶縁膜、23…
接続孔、26…ビア
11 ... Substrate, 12 ... First insulating film, 13, 24 ... Wiring trench, 14, 28 ... Sidewall layer, 17, 27 ... Wiring, 20 ... Second insulating film, 22 ... Third insulating film, 23 …
Connection hole, 26 ... via

───────────────────────────────────────────────────── フロントページの続き Fターム(参考) 5F033 HH11 HH27 HH28 HH30 HH32 HH33 HH34 HH35 JJ01 JJ11 JJ27 JJ28 JJ30 JJ32 JJ33 JJ34 JJ35 KK11 KK27 KK28 KK30 KK32 KK33 KK34 KK35 MM01 MM02 MM05 MM12 MM13 NN06 NN07 PP06 PP11 PP15 PP27 PP28 PP33 QQ08 QQ09 QQ10 QQ13 QQ19 QQ25 QQ31 QQ37 QQ48 QQ73 QQ75 RR01 RR02 RR04 RR06 RR08 RR11 RR30 SS02 SS08 SS11 TT02 TT08 XX01 XX03 XX06 XX20 XX25 XX27 XX28    ─────────────────────────────────────────────────── ─── Continued front page    F term (reference) 5F033 HH11 HH27 HH28 HH30 HH32                       HH33 HH34 HH35 JJ01 JJ11                       JJ27 JJ28 JJ30 JJ32 JJ33                       JJ34 JJ35 KK11 KK27 KK28                       KK30 KK32 KK33 KK34 KK35                       MM01 MM02 MM05 MM12 MM13                       NN06 NN07 PP06 PP11 PP15                       PP27 PP28 PP33 QQ08 QQ09                       QQ10 QQ13 QQ19 QQ25 QQ31                       QQ37 QQ48 QQ73 QQ75 RR01                       RR02 RR04 RR06 RR08 RR11                       RR30 SS02 SS08 SS11 TT02                       TT08 XX01 XX03 XX06 XX20                       XX25 XX27 XX28

Claims (4)

【特許請求の範囲】[Claims] 【請求項1】 基板上の絶縁膜に配線溝を形成する工程
と、 前記配線溝の側壁にサイドウォール層を形成する工程
と、 前記サイドウォール層が形成された前記配線溝の内部に
導電性材料を埋め込んで配線を形成する工程と、 前記サイドウォール層を選択的に除去することで、前記
配線溝の側壁と前記配線との間に一定幅の空間を設ける
工程とを有することを特徴とする半導体装置の製造方
法。
1. A step of forming a wiring groove in an insulating film on a substrate, a step of forming a sidewall layer on a side wall of the wiring groove, and a step of forming conductivity inside the wiring groove in which the sidewall layer is formed. And a step of forming a wiring by embedding a material, and a step of selectively removing the sidewall layer to provide a space of a constant width between the sidewall of the wiring groove and the wiring. Of manufacturing a semiconductor device.
【請求項2】 基板上の絶縁膜に配線溝とともに前記配
線溝の底部に連通する接続孔とを形成する工程と、 前記配線溝および前記接続孔の側壁にサイドウォール層
を形成する工程と、 前記サイドウォール層が形成された前記配線溝および前
記接続孔の内部に導電性材料を埋め込み、前記配線溝の
内部に配線を形成するとともに、前記接続孔の内部にビ
アを形成する工程と、 前記サイドウォール層を選択的に除去することで、前記
配線溝の側壁と前記配線との間に一定幅の空間を設ける
とともに、前記接続孔の側壁と前記ビアとの間に空間を
設ける工程とを有することを特徴とする半導体装置の製
造方法。
2. A step of forming a wiring groove and a connection hole communicating with the bottom of the wiring groove in an insulating film on a substrate, and a step of forming a sidewall layer on the side wall of the wiring groove and the connection hole. A step of burying a conductive material inside the wiring groove and the connection hole in which the sidewall layer is formed, forming a wiring inside the wiring groove, and forming a via inside the connection hole; By selectively removing the sidewall layer, a space having a constant width is provided between the sidewall of the wiring groove and the wiring, and a space is provided between the sidewall of the connection hole and the via. A method of manufacturing a semiconductor device, comprising:
【請求項3】 基板上に形成された絶縁膜と、前記絶縁
膜に形成された配線溝と、前記配線溝の内部に形成され
た配線とを備えた半導体装置において、 前記配線が前記配線溝の側壁に対して一定幅の空間を有
して設けられていることを特徴とする半導体装置。
3. A semiconductor device comprising an insulating film formed on a substrate, a wiring groove formed in the insulating film, and a wiring formed inside the wiring groove, wherein the wiring is the wiring groove. A semiconductor device provided with a space having a constant width with respect to a side wall of the semiconductor device.
【請求項4】 請求項3記載の半導体装置において、 前記配線溝の底部に連通する接続孔と、 前記接続孔の内部に形成されたビアとを備えており、 前記接続孔の側壁と前記ビアとの間に空間が設けられて
いることを特徴とする半導体装置。
4. The semiconductor device according to claim 3, further comprising a connection hole communicating with a bottom portion of the wiring groove and a via formed inside the connection hole, wherein a sidewall of the connection hole and the via. A semiconductor device characterized in that a space is provided between the semiconductor device and the semiconductor device.
JP2001361936A 2001-11-28 2001-11-28 Semiconductor device and manufacturing method thereof Pending JP2003163266A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2001361936A JP2003163266A (en) 2001-11-28 2001-11-28 Semiconductor device and manufacturing method thereof

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2001361936A JP2003163266A (en) 2001-11-28 2001-11-28 Semiconductor device and manufacturing method thereof

Publications (1)

Publication Number Publication Date
JP2003163266A true JP2003163266A (en) 2003-06-06

Family

ID=19172521

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2001361936A Pending JP2003163266A (en) 2001-11-28 2001-11-28 Semiconductor device and manufacturing method thereof

Country Status (1)

Country Link
JP (1) JP2003163266A (en)

Cited By (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004023031A (en) * 2002-06-20 2004-01-22 Matsushita Electric Ind Co Ltd Semiconductor device and method of manufacturing the same
JP2005123607A (en) * 2003-09-30 2005-05-12 Interuniv Micro Electronica Centrum Vzw Method for selectively forming air gaps and device obtained by the same
JP2006511955A (en) * 2002-12-20 2006-04-06 フリースケール セミコンダクター インコーポレイテッド Method for forming semiconductor device and structure thereof
JP2007019557A (en) * 2006-10-16 2007-01-25 Matsushita Electric Ind Co Ltd Semiconductor device and its manufacturing method
JP2007534178A (en) * 2004-04-21 2007-11-22 インターナショナル・ビジネス・マシーンズ・コーポレーション Integrated circuit wiring structure with reduced intralayer capacitance
JP2008172056A (en) * 2007-01-12 2008-07-24 Sharp Corp Semiconductor device and its manufacturing method
JP2009016790A (en) * 2007-06-04 2009-01-22 Panasonic Corp Semiconductor device, and method of manufacturing semiconductor device
JP2009135139A (en) * 2007-11-28 2009-06-18 Toshiba Corp Semiconductor device and method of manufacturing the same
US7635646B2 (en) 2007-05-31 2009-12-22 Kabushiki Kaisha Toshiba Method for fabricating semiconductor device
JP2011238833A (en) * 2010-05-12 2011-11-24 Elpida Memory Inc Semiconductor device and method of manufacturing the same
JP2012138574A (en) * 2010-12-10 2012-07-19 Semiconductor Energy Lab Co Ltd Display device and manufacturing method of the same
WO2014171045A1 (en) * 2013-04-17 2014-10-23 パナソニックIpマネジメント株式会社 Semiconductor device
KR20150007637A (en) * 2013-07-12 2015-01-21 에스케이하이닉스 주식회사 Semiconductor device with air gap and method for fabricating the same
JP2015511765A (en) * 2012-02-27 2015-04-20 クアルコム,インコーポレイテッド Structure and method for strain relaxation of TSV
WO2015159670A1 (en) * 2014-04-18 2015-10-22 ソニー株式会社 Field effect transistor, method for manufacturing same and high frequency device
US11127748B2 (en) 2019-03-05 2021-09-21 Toshiba Memory Corporation Semiconductor device having contact electrode extending through void
US11444005B2 (en) 2017-10-19 2022-09-13 Sony Semiconductor Solutions Corporation Semiconductor device, imaging device, and manufacturing apparatus

Cited By (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004023031A (en) * 2002-06-20 2004-01-22 Matsushita Electric Ind Co Ltd Semiconductor device and method of manufacturing the same
JP4799868B2 (en) * 2002-12-20 2011-10-26 フリースケール セミコンダクター インコーポレイテッド Method for forming semiconductor device and structure thereof
JP2006511955A (en) * 2002-12-20 2006-04-06 フリースケール セミコンダクター インコーポレイテッド Method for forming semiconductor device and structure thereof
JP2006324689A (en) * 2002-12-20 2006-11-30 Freescale Semiconductor Inc Method for forming semiconductor device and its structure
JP2005123607A (en) * 2003-09-30 2005-05-12 Interuniv Micro Electronica Centrum Vzw Method for selectively forming air gaps and device obtained by the same
JP2007534178A (en) * 2004-04-21 2007-11-22 インターナショナル・ビジネス・マシーンズ・コーポレーション Integrated circuit wiring structure with reduced intralayer capacitance
JP2007019557A (en) * 2006-10-16 2007-01-25 Matsushita Electric Ind Co Ltd Semiconductor device and its manufacturing method
JP2008172056A (en) * 2007-01-12 2008-07-24 Sharp Corp Semiconductor device and its manufacturing method
US7635646B2 (en) 2007-05-31 2009-12-22 Kabushiki Kaisha Toshiba Method for fabricating semiconductor device
JP2009016790A (en) * 2007-06-04 2009-01-22 Panasonic Corp Semiconductor device, and method of manufacturing semiconductor device
JP2009135139A (en) * 2007-11-28 2009-06-18 Toshiba Corp Semiconductor device and method of manufacturing the same
JP2011238833A (en) * 2010-05-12 2011-11-24 Elpida Memory Inc Semiconductor device and method of manufacturing the same
JP2012138574A (en) * 2010-12-10 2012-07-19 Semiconductor Energy Lab Co Ltd Display device and manufacturing method of the same
US9355904B2 (en) 2012-02-27 2016-05-31 Qualcomm Incorporated Method for strain-relieved through substrate vias
JP2015511765A (en) * 2012-02-27 2015-04-20 クアルコム,インコーポレイテッド Structure and method for strain relaxation of TSV
WO2014171045A1 (en) * 2013-04-17 2014-10-23 パナソニックIpマネジメント株式会社 Semiconductor device
KR20150007637A (en) * 2013-07-12 2015-01-21 에스케이하이닉스 주식회사 Semiconductor device with air gap and method for fabricating the same
KR102033496B1 (en) 2013-07-12 2019-10-17 에스케이하이닉스 주식회사 Semiconductor device with air gap and method for fabricating the same
US10535607B2 (en) 2014-04-18 2020-01-14 Sony Corporation Field-effect transistor, method of manufacturing the same, and radio-frequency device
WO2015159670A1 (en) * 2014-04-18 2015-10-22 ソニー株式会社 Field effect transistor, method for manufacturing same and high frequency device
US9659865B2 (en) 2014-04-18 2017-05-23 Sony Corporation Field-effect transistor, method of manufacturing the same, and radio-frequency device
US10074610B2 (en) 2014-04-18 2018-09-11 Sony Corporation Field-effect transistor, method of manufacturing the same, and radio-frequency device
CN105308736A (en) * 2014-04-18 2016-02-03 索尼公司 Field effect transistor, method for manufacturing same and high frequency device
JP2015207640A (en) * 2014-04-18 2015-11-19 ソニー株式会社 Field effect transistor and method of manufacturing the same
US10847466B2 (en) 2014-04-18 2020-11-24 Sony Corporation Field-effect transistor, method of manufacturing the same, and radio-frequency device
CN105308736B (en) * 2014-04-18 2021-10-19 索尼公司 Field effect transistor, field effect transistor manufacturing method and radio frequency device
US11387185B2 (en) 2014-04-18 2022-07-12 Sony Group Corporation Field-effect transistor, method of manufacturing the same, and radio-frequency device
US11810861B2 (en) 2014-04-18 2023-11-07 Sony Group Corporation Field-effect transistor, method of manufacturing the same, and radio-frequency device
US11444005B2 (en) 2017-10-19 2022-09-13 Sony Semiconductor Solutions Corporation Semiconductor device, imaging device, and manufacturing apparatus
US11127748B2 (en) 2019-03-05 2021-09-21 Toshiba Memory Corporation Semiconductor device having contact electrode extending through void

Similar Documents

Publication Publication Date Title
US7799693B2 (en) Method for manufacturing a semiconductor device
US6762500B2 (en) Barrier-metal-free copper damascene technology using atomic hydrogen enhanced reflow
US6187672B1 (en) Interconnect with low dielectric constant insulators for semiconductor integrated circuit manufacturing
US7524755B2 (en) Entire encapsulation of Cu interconnects using self-aligned CuSiN film
KR100498454B1 (en) Method for creating a damascene interconnect using a two-step plating process
US8119519B2 (en) Semiconductor device manufacturing method
US20060055046A1 (en) Semiconductor device and method for manufacturing the same
JP2003163266A (en) Semiconductor device and manufacturing method thereof
JP2004513502A (en) Forming metal interconnects
JP2008135758A (en) Method of manufacturing electronic structure
US6191031B1 (en) Process for producing multi-layer wiring structure
JP2007287816A (en) Method of manufacturing semiconductor device
JP2008010534A (en) Semiconductor device and manufacturing method thereof
JP2007027347A (en) Semiconductor device and manufacturing method thereof
JP2004153162A (en) Method of forming wiring structure
JPH10284600A (en) Semiconductor device and fabrication thereof
JP2004200203A (en) Semiconductor device and its manufacturing method
JP2000332106A (en) Semiconductor device for its manufacture
US6599838B1 (en) Method for forming metal filled semiconductor features to improve a subsequent metal CMP process
JP2001250863A (en) Semiconductor device and method of manufacturing the same
JP2005005697A (en) Manufacturing method of semiconductor device
JP3269490B2 (en) Semiconductor integrated circuit device and method of manufacturing the same
JP4006720B2 (en) Semiconductor device and manufacturing method thereof
KR101185853B1 (en) Method for forming metal line of semiconductor device
JP2000353703A (en) Production of semiconductor device

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20041019

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20060927

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20061003

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20061204

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20080513

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20090113