TW202040824A - 半導體裝置 - Google Patents

半導體裝置 Download PDF

Info

Publication number
TW202040824A
TW202040824A TW109101437A TW109101437A TW202040824A TW 202040824 A TW202040824 A TW 202040824A TW 109101437 A TW109101437 A TW 109101437A TW 109101437 A TW109101437 A TW 109101437A TW 202040824 A TW202040824 A TW 202040824A
Authority
TW
Taiwan
Prior art keywords
spacer
gate
source
fin
drain
Prior art date
Application number
TW109101437A
Other languages
English (en)
Inventor
廖忠志
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202040824A publication Critical patent/TW202040824A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/66803Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with a step of doping the vertical sidewall, e.g. using tilted or multi-angled implants
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823864Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate sidewall spacers, e.g. double spacers, particular spacer material or shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823871Complementary field-effect transistors, e.g. CMOS interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0928Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors comprising both N- and P- wells in the substrate, e.g. twin-tub
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4983Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET with a lateral structure, e.g. a Polysilicon gate with a lateral doping variation or with a lateral composition variation or characterised by the sidewalls being composed of conductive, resistive or dielectric material
    • H01L29/4991Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET with a lateral structure, e.g. a Polysilicon gate with a lateral doping variation or with a lateral composition variation or characterised by the sidewalls being composed of conductive, resistive or dielectric material comprising an air gap
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6653Unipolar field-effect transistors with an insulated gate, i.e. MISFET using the removal of at least part of spacer, e.g. disposable spacer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L2029/7858Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET having contacts specially adapted to the FinFET geometry, e.g. wrap-around contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823468MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate sidewall spacers, e.g. double spacers, particular spacer material or shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

本發明實施例提供半導體裝置與其形成方法。在一實施例中,半導體裝置包括鰭狀物自基板延伸;閘極結構位於通道區上;第一間隔物,沿著閘極結構的下側部分之側壁延伸;以及第二間隔物,沿著閘極結構的上側部分之側壁延伸。鰭狀物包括通道區以及與通道區相鄰的源極/汲極區。閘極結構包括上側部分與下側部分。第二間隔物位於第一間隔物的上表面上。第一間隔物的組成為一第一介電材料,第二間隔物的組成為第二介電材料,且第一介電材料與第二介電材料不同。

Description

半導體裝置
本發明實施例關於半導體裝置結構與其形成方法,更特別關於高效能的半導體裝置結構與其形成方法。
電子產業對更小且更快的電子裝置的需求持續增加,而電子裝置可同時支援大量複雜功能。綜上所述,半導體產業的持續趨勢為製作低成本、高效能、與低能耗的積體電路。這些目標的達成方式主要為縮小半導體積體電路尺寸(如最小結構尺寸),以改善產能並降低相關成本。然而尺寸縮小亦增加半導體製程的複雜度。為實現半導體積體電路與裝置的持續進展,半導體製程與技術亦須類似進展。
近來導入多閘極裝置以增加閘極-通道耦合、降低關閉狀態電流、並減少短通道效應,以改善閘極控制。多閘極裝置之一為鰭狀場效電晶體。鰭狀場效電晶體的名稱來自由基板延伸並形成於基板上的鰭狀結構,其可用於形成場效電晶體通道。鰭狀場效電晶體可與習知的互補式金氧半製程相容,其三維結構在大幅減少尺寸時可維持閘極控制並緩解短通道效應。即使導入鰭狀場效電晶體,大幅縮小的積體電路尺寸造成寄生電容增加(比如鰭狀場效電晶體的閘極與源極/汲極區或源極/汲極接點之間的寄生電容)。寄生電容會劣化裝置效能。因此現有技術未完全符合所有方面的需求。
本發明一實施例提供之半導體裝置,包括:鰭狀物,自基板延伸,且鰭狀物包括通道區以及與通道區相鄰的源極/汲極區;閘極結構,位於通道區上,且閘極結構包括上側部分與下側部分;第一間隔物,沿著閘極結構的下側部分之側壁延伸;以及第二間隔物,沿著閘極結構的上側部分之側壁延伸,其中第二間隔物位於第一間隔物的上表面上,其中第一間隔物的組成為第一介電材料,第二間隔物的組成為第二介電材料,且第一介電材料與第二介電材料不同。
本發明一實施例提供之半導體裝置,包括:鰭狀物,自基板延伸,且鰭狀物包括通道區以及與通道區相鄰的源極/汲極區;閘極結構,位於通道區上,且閘極結構包括上側部分與下側部分;閘極頂部介電層,位於閘極結構上;第一間隔物,沿著閘極結構的下側部分之側壁延伸;以及第二間隔物,沿著閘極結構的上側部分之側壁延伸,其中第二間隔物堆疊於第一間隔物的上表面上;其中第二間隔物接觸閘極頂部介電層,且第一間隔物與閘極頂部介電層隔開。
本發明一實施例提供之半導體裝置的製作方法,包括:接收工件,且工件包括:基板;鰭狀物,自基板延伸,且鰭狀物包括通道區以及與通道區相鄰的源極/汲極區;閘極結構,位於通道區上;以及沿著閘極結構的側壁形成第一間隔物;移除第一間隔物的上側部分;形成源極/汲極結構於源極/汲極區上;以及形成第二間隔物於第一間隔物與源極/汲極結構的一部分上。
下述內容提供的不同實施例或實例可實施本揭露的不同結構。特定構件與排列的實施例係用以簡化本揭露而非侷限本揭露。舉例來說,形成第一構件於第二構件上的敘述包含兩者直接接觸,或兩者之間隔有其他額外構件而非直接接觸。此外,本揭露之多種例子中可重複標號,但這些重複僅用以簡化與清楚說明,不代表不同實施例及/或設置之間具有相同標號之單元之間具有相同的對應關係。
此外,空間性的相對用語如「下方」、「其下」、「較下方」、「上方」、「較上方」、或類似用語可用於簡化說明某一元件與另一元件在圖示中的相對關係。空間性的相對用語可延伸至以其他方向使用之元件,而非侷限於圖示方向。元件亦可轉動90°或其他角度,因此方向性用語僅用以說明圖示中的方向。
值得注意的是,本發明實施例的形式為多閘極電晶體或鰭狀多閘極電晶體,在此處稱作鰭狀場效電晶體裝置。此裝置可包含p型金氧半鰭狀場效電晶體裝置,或n型金氧半鰭狀場效電晶體裝置。鰭狀場效電晶體裝置可為雙閘極裝置、三閘極裝置、基體裝置、絕緣層上矽裝置、及/或其他設置。本技術領域中具有通常知識者應理解半導體裝置的其他實施例可得利於本發明實施例。舉例來說,此處所述的其他實施例亦可用於全繞式閘極裝置、Ω-閘極裝置、或Π-閘極裝置。
本發明實施例關於半導體裝置結構與其形成方法,更特別關於高效能的半導體裝置結構與其形成方法。隨著閘極間距縮小,形成半導體裝置的習知製程面臨可加大製程失誤容許範圍並降低電容的厚閘極間隔物,以及可加大源極/汲極結構所用空間的薄閘極間隔物之間的兩難選擇。當閘極間隔物薄,稍微對不準就可能造成源極/汲極接點接觸閘極結構,造成短路與裝置失效。當閘極間隔物的厚度增加以避免閘極結構與源極/汲極接點之間的短接,較厚的閘極間隔物留下較少的空間以形成源極/汲極結構(其可具有較高的摻質濃度或高應變)。與此同時,厚閘極間隔物可減少源極/汲極接點的著陸面積,而薄閘極間隔物可能被源極/汲極的凹陷擊穿而降低裝置可信度,端視裝置設計而定。本發明實施例的半導體結構包括第一間隔物於閘極結構的下側部分之側壁上,以及堆疊於第一間隔物之上表面上的第二間隔物。第一間隔物與第二間隔物可具有不同厚度、不同組成、與不同的介電常數,使閘極間隔物設置的水平對應源極/汲極結構,而另一閘極間隔物設置的水平對應源極/汲極接點。
圖1係工件10上的鰭狀場效電晶體裝置100的上視圖。鰭狀場效電晶體裝置100包含一或多個鰭狀物為主的多閘極場效電晶體。雖然本發明實施例採用圖1中的鰭狀場效電晶體裝置100舉例說明,本發明實施例並不限於此且可應用於其他種類的場效電晶體,比如本發明圖示的鰭狀物以外的半導體結構。圖2a、3a、4a、與5a為鰭狀場效電晶體裝置100沿著剖面X1-X1’的剖視圖。圖2b、3b、4b、與5b為鰭狀場效電晶體裝置100沿著剖面X2-X2’的剖視圖。
如圖1、2a、與2b所示,工件10上的鰭狀場效電晶體裝置100包含基板102、自基板102延伸的至少一鰭狀物(或鰭狀單元) 108、隔離區106、以及位於鰭狀物108之上與周圍的閘極結構110。基板102可為半導體基板如矽基板。基板102可包含多種層狀物,包含形成於半導體基板上的導電層或絕緣層。基板102可包含多種摻雜設置,端視本技術領域已知的設計需求而定。舉例來說,鰭狀場效電晶體裝置100可包含n型井104N與p型井104P。在一些實施例中,n型井104N可包含n型摻質如砷或磷,而p型井104P可包含p型摻質如硼。在一些實施方式中,一或多個p型鰭狀場效電晶體可形成於n型井104N中,且一或多個n型鰭狀場效電晶體可形成於p型井104P中。由於剖面X1-X1’與X2-X2’均穿過p型井104P,圖2a至5a與圖2b至5b僅顯示p型井104P的剖視圖。
基板102亦可包含其他半導體如鍺、碳化矽、矽鍺、或鑽石。在其他實施例中,基板102可包含半導體化合物及/或半導體合金。此外,一些實施例的基板102可包含磊晶層、可具有應力以增進效能、可包含絕緣層上半導體結構、及/或其他合適的增進結構。
鰭狀物108與基板102類似,可包含矽或另一半導體元素如鍺、半導體化合物(包含碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦、及/或銻化銦)、半導體合金(包含矽鍺、磷砷化鎵、砷化鋁銦、砷化鋁鎵、砷化鎵銦、磷化鎵銦、及/或磷砷化鎵銦)、或上述之組合。鰭狀物108的製作方法可採用合適製程,包括光微影與蝕刻製程。光微影製程可包含形成光阻層於基板102上 (比如形成於矽層上)、曝光光阻至一圖案、進行曝光後烘烤製程、以及顯影光阻以形成含光阻的遮罩單元。在一些實施例中,圖案畫光阻以形成遮罩單元的方法可採用電子束微影製程。接著在形成凹陷至基板102中的蝕刻製程時,採用遮罩單元保護基板102的區域,以保留延伸的鰭狀物108。凹陷的蝕刻方法可採用乾蝕刻(如化學氧化物移除)、濕蝕刻、及/或其他合適製程。亦可採用多種方法的其他實施例以形成鰭狀物108於基板102上。
多個鰭狀物108的每一者亦包含源極/汲極區1200,即位於鰭狀物108上、與鰭狀物108相鄰、及/或圍繞鰭狀物108的源極/汲極結構109 (未圖示於圖1中,但圖示於圖2a與2b中)。值得注意的是在場效電晶體如鰭狀場效電晶體裝置100中,通道區1100夾設於源極/汲極區1200之間。為了方便說明,通道區1100之不同側上的源極區與汲極區通常視作圖1中的源極/汲極區1200。源極/汲極結構109可磊晶成長於鰭狀物108上。通道區1100位於閘極結構110下的鰭狀物108中,且沿著圖1中的X方向延伸。由圖1的上視圖可知,閘極結構110延伸於鰭狀物108的通道區1100上。在一些例子中,鰭狀物108的通道區1100包含矽與高遷移率材料如鍺,以及任何上述的半導體化合物或半導體合金及/或上述之組合。高遷移率材料的電子移動率大於矽,矽在室溫如300K下的固有電子移動率為約1350 cm2 /V-s,而電動移動率為約480 cm2 /V-s。
隔離區106可為淺溝槽隔離結構。在其他實施例中,可實施場氧化物、局部氧化矽結構、及/或其他合適的隔離結構於基板102之上及/或之中。隔離區106的組成可為氧化矽、氮化矽、氮氧化矽、摻雜氟的矽酸鹽玻璃、低介電常數的介電層、上述之組合、及/或本技術領域已知的其他合適材料。在一實施例中,隔離結構為淺溝槽隔離結構,其形成方法可為蝕刻溝槽至基板102中。接著可將隔離材料填入溝槽,再進行化學機械研磨製程。然而其他實施例亦屬可能。在一些實施例中,隔離區106可包含多層結構。
閘極結構110包含的閘極堆疊具有閘極介電層114 (未圖示於圖1中,但圖示於圖2a與2b中),以及形成於閘極介電層114上的金屬層111 (閘極,未圖示於)圖1中,但圖示於圖2a與2b中)。在一些實施例中,閘極介電層114可包含界面層形成於鰭狀物108的通道區1100與界面層上的高介電常數介電層之間。閘極介電層114的界面層可包含介電材料如氧化矽層或氮氧化矽層。閘極介電層114的高介電常數介電層可包含氧化鉿、氧化鈦、氧化鉿鋯、氧化鉭、氧化鉿矽、氧化鋯、氧化鋯矽、上述之組合、或其他合適材料。閘極介電層114的形成方法可為化學氧化、熱氧化、原子層沉積、物理氣相沉積、化學氣相沉積、及/或其他合適方法。金屬層111可包含導電層如鎢、氮化鈦、氮化鉭、氮化鎢、錸、銥、釕、鉬、鋁、銅、鈷、鎳、上述之組合、及/或其他合適組成。在一些實施例中,金屬層111可包含適用於n型鰭狀場效電晶體的第一組金屬材料,與適用於p型鰭狀場效電晶體的第二組金屬材料。因此鰭狀場效電晶體裝置100可包含雙功函數金屬閘極設置。舉例來說,用於n型裝置的第一金屬材料可包含的金屬,其功函數實質上對準基板的導帶功函數,或至少實質上對準鰭狀物108的通道區1100的導帶功函數。類似地,p型裝置所用的第二金屬材料可包含的金屬,其功函數實質上對準基板的價帶功函數,或至少實質上對準鰭狀物108的通道區1100的價帶功函數。因此金屬層111可作為鰭狀場效電晶體裝置100 (包括形成於p型井104P上的n型鰭狀場效電晶體裝置與形成於n型井104N上的p型鰭狀場效電晶體裝置)所用的閘極。金屬層111的形成方法可採用物理氣相沉積、化學氣相沉積、電子束蒸鍍、及/或其他合適製程。
在一些實施例中,置換閘極製程(或閘極置換製程)可用於形成閘極結構110。在置換閘極製程中,先形成半導體材料如多晶矽組成的犧牲閘極結構或虛置閘極結構於鰭狀物108的通道區1100上,以作為之後形成的最終閘極結構(如閘極結構110)所用的占位物。在製作虛置閘極結構周圍的結構之後,可移除虛置閘極結構並取代為最終閘極結構(比如閘極結構110與閘極介電層114)。當採用置換閘極製程時,可形成多個閘極間隔物(如圖2a與2b中的第一間隔物112與第二間隔物116)於虛置閘極上。後續階段可移除虛置閘極結構的上表面上的閘極間隔物,以利露出並移除虛置閘極結構。在圖2a與2b所示的一些實施例中,形成第一間隔物112與第二間隔物116以覆蓋虛置閘極結構的側壁。在虛置閘極結構置換為襯墊有閘極介電層114的閘極結構110之後,第一間隔物112與第二間隔物116以類似方式覆蓋閘極結構110的側壁並接觸閘極介電層114。第一間隔物112與第二間隔物116可包含介電材料如氧化矽、氮化矽、碳化矽、氮氧化矽、碳氧化矽、碳氮化矽、碳氮氧化矽、或上述之組合。在一些實施例中,在形成源極/汲極結構109之後沉積第二間隔物116,且第二間隔物116可位於源極/汲極結構109的一部分上,如圖2b所示。
鰭狀場效電晶體裝置100可包含多種隔離結構,以定義鰭狀場效電晶體裝置100的次單位。在圖1、2a、與2b所示的一些實施例中,鰭狀場效電晶體裝置100包含一或多個閘極末端介電層124與一或多個介電閘極122。在一些實施例中,閘極末端介電層124的形成方法可採用閘極切割製程,其包含形成閘極切割溝槽,並將介電材料填入閘極切割溝槽中。在這些實施例中,閘極末端介電層124亦可稱作閘極切割結構。介電閘極122用於將鰭狀物108分成兩個部位,且可稱作通道隔離結構。介電閘極122與閘極末端介電層124的組成均為介電材料,且可定義鰭狀場效電晶體裝置100的次單位(sub-unit)如單元(cell)。介電閘極122與閘極末端介電層124可包含氧化矽、氮化矽、碳化矽、氮氧化矽、碳氧化矽、碳氮化矽、碳氮氧化矽、氧化鋁、氮氧化鋁、氮化鋁、氧化鋯、氮氧化鋯鋁、氮化鋁、非晶矽、或上述之組合。
在一些實施例中,為避免後續製程蝕刻或氧化金屬層111,可形成閘極介電蓋層120於閘極結構110上,包括形成於第二間隔物116、閘極介電層114、與金屬層111的上表面上。閘極介電蓋層120的組成可為介電材料如氧化矽、氮化矽、碳化矽、氮氧化矽、碳氧化矽、碳氮化矽、碳氮氧化矽、或上述之組合。閘極介電蓋層120的形成方法可採用化學氣相沉積、原子層沉積、電漿輔助化學氣相沉積、電漿輔助原子層沉積、或其他合適技術。在圖2a與2b所示的實施例中,以閘極結構110置換虛置閘極結構,並以合適技術如化學機械研磨平坦化工件10的上表面之後,才形成閘極介電蓋層120。
如圖1、2a、與2b所示,鰭狀場效電晶體裝置100亦可包含一或多個接點結構與內連線結構,以電性連接鰭狀場效電晶體裝置100至其他鰭狀場效電晶體裝置或被動裝置(比如工件10的其他部分中的電容、電感、或天線結構)。舉例來說,鰭狀場效電晶體裝置100可包含源極/汲極接點118以電性耦接至源極/汲極結構109、源極/汲極接點通孔129以電性耦接至源極/汲極接點118、閘極接點通孔128以電性耦接至閘極結構110、以及金屬線路130以電性耦接至閘極接點通孔128與源極/汲極接點通孔129。這些接點結構與內連線結構形成於一或多個層間介電層(如第一層間介電層126與第二層間介電層132)之中或穿過一或多個層間介電層。在圖2a與2b所示的實施例中,閘極接點通孔128位於第一層間介電層126中,源極/汲極接點通孔129位於第一層間介電層126中,且金屬線路130位於第二層間介電層132中。
如圖2a與2b所示,第一間隔物112沿著X方向具有第一厚度T1,且第二間隔物116沿著X方向具有第二厚度T2。在一些實施例中,第一厚度T1小於第二厚度T2,可提供更多空間以用於形成源極/汲極結構109,並避免源極/汲極接點118與閘極結構110之間的橋接。形成源極/汲極結構109的關鍵為空間是否足夠。在n型裝置中,可形成n型摻雜濃度逐漸增加的多個磊晶層,以降低源極/汲極接點電阻,而第一間隔物112的第一厚度T1夠薄,以提供多個磊晶層所需的空間。在p型裝置中,需要空間以累積足夠的內建應變於應變的矽鍺磊晶結構中。在一些實施方式中,第二厚度T2與第一厚度T1的比例(T2/T1)介於約1.05至約1.5之間。第一間隔物112與第二間隔物116的組成可為氧化矽、氮氧化矽、碳氧化矽、或碳氮氧化矽。在一些實施例中,第一間隔物112與第二間隔物116的組成不同以具有不同特性。在一些實施方式中,第二間隔物116包含的第一介電常數大於第一間隔物112的第二介電常數。在這些實施方式中,第一間隔物112與第二間隔物116包含碳氮氧化矽或碳氮化矽,且第二間隔物116的碳濃度大於第一間隔物112的碳濃度。在一些實施例中,第一間隔物112包含沿著Z方向的第一高度H1,而第二間隔物116包含沿著Z方向的第二高度H2。在一些例子中,第一高度H1實質上對應源極/汲極結構109的高度,其介於約30nm至約70nm之間,使較小的第一厚度T1提供更多空間以用於源極/汲極結構109。第二高度H2實質上對應閘極結構110的鰭狀物頂部高度(比如隆起高於鰭狀物108的閘極結構110的部分),其介於約6nm至約30nm之間。
在一些實施例中,第二間隔物116可包含超過一個介電層。在一些實施方式中,第二間隔物116可包含三層結構,其包含低介電常數介電層夾設於兩個高介電常數介電層之間。此處所述的低介電常數的介電層之介電常數小於4,而高介電常數的介電層之介電常數大於4。在一些例子中,夾設於兩個高介電常數的介電層之間的低介電常數的介電層可為氣隙117。在這些例子中,沉積三層於虛置閘極結構(或閘極結構110)上,其含有第一材料的中間層夾設於第二材料的兩個外側層之間,且可由平坦化或凹陷製程露出中間層,再選擇性地移除中間層。在一例中,三層可包含多晶矽層夾設於兩個氧化矽層之間。在另一例中,三層可包含氮化矽層夾設於兩個氧化矽層之間。為了密封移除中間層所形成的氣隙117,可形成密封層於開口上。在一些例子中,未形成密封層,而是由層間介電層密封氣隙。在一些實施例中,閘極介電蓋層120可作為密封層以密封氣隙117。採用氣隙與其他低介電常數介電層,可降低源極/汲極接點118與閘極結構110之間的寄生電容。在一些實施例中,第二間隔物116包括氣隙117,且第一間隔物112不含任何氣隙。值得注意的是,雖然只有圖2a與2b的實施例顯示氣隙117,圖3a、3b、4a、4b、5a、與5b所示的實施例亦可實施氣隙。
如圖3a與3b所示的一些其他實施例,閘極介電蓋層120形成於第二間隔物116之間的閘極結構110上,包含形成於閘極介電層114與金屬層111上。在一些例子中,圖3a與3b中的閘極介電蓋層120可稱作第一自對準接點介電層。在這些其他實施例中,在形成第二間隔物116並將虛置閘極結構置換成含有閘極介電層114與金屬層111的閘極結構110之後,選擇性地使閘極結構110凹陷而實質上不蝕刻第二間隔物116。之後沉積閘極介電蓋層120於工件10上,包括形成於凹陷中。閘極介電蓋層120的組成與形成製程可與圖2a與2b所示的上述實施例類似。以合適平坦化製程如化學機械研磨移除上表面之上的多餘材料之後,形成圖3b所示的閘極介電蓋層120。
如圖3a與3b所示的一些實施例,第一間隔物112沿著X方向具有第一厚度T1,而第二間隔物116沿著X方向具有第二厚度T2。在一些實施例中,第一厚度T1小於第二厚度T2,可提供更多空間以用於形成源極/汲極結構109,並避免源極/汲極接點118與閘極結構110之間的橋接。在一些實施方式中,第二厚度T2與第一厚度T1的比例(T2/T1)介於約1.05至約1.5之間。在這些實施例中,第一間隔物112包括沿著Z方向的第三高度H3,而第二間隔物116包括沿著Z方向的第四高度H4。在一些例子中,第三高度H3實質上對應源極/汲極結構109的高度,因此較小的第一厚度T1可提供更多空間用以形成源極/汲極結構109。第四高度H4實質上對應源極/汲極接點118沿著Z方向的高度。源極/汲極結構109的高度可介於約30nm至約70nm之間。源極/汲極接點118的高度可介於約10nm至約50nm之間。
如圖4a與4b所示的一些其他實施例中,閘極介電蓋層120形成於第二間隔物116、閘極介電層114、與金屬層111的上表面上,如圖2a與2b所示的實施例。閘極介電蓋層120可由類似材料與類似方法形成,此處不重述以簡化說明。在圖4a與4b所示的實施例中,第一間隔物112具有沿著X方向的第三厚度T3,而第二間隔物116具有沿著X方向的第四厚度T4。在一些實施例中,第四厚度T4小於第三厚度T3,以加大源極/汲極接點118的著陸面積,並確保閘極結構110與源極/汲極結構109之間的隔離層之完整性與可信度。在一些實施方式中,第三厚度T3與第四厚度T4的比例(T3/T4)介於約1.05至約1.5之間。在這些實施例中,第一間隔物112沿著Z方向具有第一高度H1,而第二間隔物116沿著Z方向具有第二高度H2。在一些例子中,第一高度H1實質上對應源極/汲極結構109的高度,且介於約30nm至約70nm之間。第二高度H2實質上對應閘極結構110的鰭狀物頂部高度,且介於約5nm至約30nm之間。
在圖5a與5b所示的實施例中,閘極介電蓋層120形成於第二間隔物116之間的閘極結構110上,包括形成於閘極介電層114與金屬層111上。在一些例子中,圖5a與5b中的閘極介電蓋層120可稱作第一自對準接點介電層。在這些實施例中,第一間隔物112具有沿著X方向的第三厚度T3,而第二間隔物116具有沿著X方向的第四厚度T4。在一些實施例中,第四厚度T4小於第三厚度T3,以加大源極/汲極接點118的著陸面積,並確保閘極結構110與源極/汲極結構109之間的隔離層之可信度與完整性。在一些實施方式中,第三厚度T3與第四厚度T4的比例(T3/T4)介於約1.05至約1.5之間。在這些實施例中,第一間隔物112包括沿著Z方向的第三高度H3,而第二間隔物116包括沿著Z方向的第四高度H4。在一些例子中,第三高度H3實質上對應源極/汲極結構109的高度,因此較大的第三厚度T3可確保閘極結構110與源極/汲極結構109之間的隔離層之可信度與完整性。第四高度H4實質上對應源極/汲極接點118沿著Z方向的高度,因此較小的第四厚度H4可提供空間形成較大的源極/汲極接點118,以改善連接並加大著陸面積。在一些實施例中,源極/汲極結構109的高度介於約30nm至約70nm之間。源極/汲極接點118的高度介於約10nm至約50nm之間。
在圖5a與5b所示的實施例中,第二間隔物116接觸源極/汲極接點118。在其他實施例中,以阻障層襯墊源極/汲極接點118,可阻擋氧自第二間隔物116擴散。此第二間隔物116接觸阻障層,而非接觸源極/汲極接點118的金屬填充材料。在一些實施方式中,阻障層包含金屬氮化物如氮化鈦、氮化鉭、氮化鎢、氮化鈷、或類似物。
圖6係本發明實施例中,製作半導體裝置如鰭狀場效電晶體裝置100的方法200。方法200僅為舉例,而非侷限本發明實施例至請求項未實際記載處。在方法200之前、之中、與之後可進行額外步驟,且方法200的額外實施例可置換、省略、或調換一些所述步驟。
方法200包含步驟202、204、206、208、210、212、214、216、與218。在步驟202中,提供工件,且工件包括鰭狀物位於基板上,以及閘極結構位於鰭狀物上。值得注意的是,當採用閘極置換製程時,此階段的閘極結構可為虛置閘極結構而非功能閘極結構或最終閘極結構。在步驟204中,沉積第一間隔物於工件上,且第一間隔物沿著閘極結構的側壁。在步驟206中,回蝕刻第一間隔物或使第一間隔物凹陷,以露出鰭狀物的源極/汲極區。在步驟208中,形成源極/汲極結構於鰭狀物的源極/汲極區中。在步驟210中,形成介電層於源極/汲極結構與第一間隔物的下側部分上。舉例來說,沉積介電材料於工件上,接著回蝕刻介電材料以形成介電層,其覆蓋第一間隔物的下側部分但露出第一間隔物的上側部分(其位於第一間隔物的下側部分上)。在步驟212中,選擇性地移除介電層中露出的第一間隔物的上側部分。在步驟214中,沉積第二間隔物於閘極結構與第一間隔物上。在步驟216中,回蝕刻第二間隔物或使第二間隔物凹陷,以移除閘極結構與源極/汲極結構上的第二間隔物。在步驟218中,進行額外步驟。
方法200的一些實施例將搭配圖1、2a、與2b說明如下。在一些實施例中,步驟204先沉積第一間隔物112於整個高度的閘極結構110 (或之後取代為閘極結構110的虛置閘極)的側壁上。在步驟206中,接著可由合適的蝕刻技術如乾蝕刻回蝕刻、凹陷、或拉回第一間隔物112。在步驟206中,沉積於第一間隔物112於頂面(如閘極結構110之間的隔離區106的上表面)上的第一間隔物112被移除,但沉積於閘極結構110的側壁上的第一間隔物112被保留,以在後續的步驟208的源極/汲極凹陷步驟時保護閘極結構110。在步驟208中,使鰭狀物108的源極/汲極區1200凹陷,接著磊晶成長源極/汲極結構109於鰭狀物108之凹陷的源極/汲極區1200上。在一些實施例中,n型場效電晶體的源極/汲極結構109可包括矽,其可原位摻雜n型摻質如砷或磷。p型場效電晶體的源極/汲極結構109可包括矽與鍺,其可原位摻雜p型摻質如硼。在一些實施方式中,可沉積接點蝕刻停止層於源極/汲極結構109上。接點蝕刻停止層可包含半導體的氮化物,其可或可不摻雜碳。
在步驟210中,形成介電層於第一間隔物112的下側部分與源極/汲極結構109上。在一些例子中,與第一層間介電層126或第二層間介電層132類似的介電材料可沉積於工件10上。接著回蝕刻沉積的介電材料,以形成介電層覆蓋第一間隔物112的下側部分,但露出第一間隔物112的上側部分。步驟210形成的介電層可作為移除第一間隔物112的上側部分所用的蝕刻遮罩。
在步驟212中,步驟210形成的介電層未覆蓋第一間隔物112的上側部分,可被選擇性移除,且移除方法可為合適的蝕刻技術如乾蝕刻或濕蝕刻。在一些實施例中,步驟212移除的第一間隔物112的上側部分,實質上對應之後形成的源極/汲極接點的高度。源極/汲極接點的高度可介於約10nm至約50nm之間。步驟212所保留的第一間隔物112的下側部分實質上對應源極/汲極結構109的高度,其可介於約30nm至約70nm之間。在一些實施方式中,在步驟208形成源極/汲極結構109,且步驟212移除第一間隔物112的上側部分之後,步驟214沉積第二間隔物116於工件10上。在這些實施方式中,第二間隔物116亦沉積於覆蓋第一間隔物112之下側部分的介電層上。在步驟216中,使第二間隔物116凹陷或回蝕刻,將沉積於閘極結構110與介電層的上表面上的第二間隔物116移除。在一些例子中,在開始進行後續製程之前,可將覆蓋第一間隔物112的下側部分之介電層移除。在其他例子中,介電層可保留成為第一層間介電層126的一部分。
在一例中,如圖2a中沿著剖面X1-X1’的剖視圖所示,第一間隔物112沿著閘極結構110的側壁之下側部分並位於其上,即閘極結構110未包覆鰭狀物108處。在另一例中,如圖2b中沿著剖面X2-X2’的剖視圖所示,只有第二間隔物116高於鰭狀物108的上表面,且第一間隔物112不高於鰭狀物108的上表面。如圖2b所示,第二間隔物116位於源極/汲極結構109的一部分上,如圖2b所示,第二間隔物116沉積於源極/汲極結構109的部分上,且源極/汲極結構109形成於第二間隔物116之前。
步驟218中進行的額外步驟可包括形成接點與內連線結構,以電性耦接鰭狀場效電晶體裝置100與最終裝置中的其他主動或被動裝置。步驟218可包含形成源極/汲極接點118,其包含使源極/汲極結構109凹陷、沉積一或多個阻障層於凹陷的源極/汲極結構109上、退火阻障層以形成金屬矽化物、沉積金屬填充層於阻障層上以形成源極/汲極接點118、以及平坦化源極/汲極接點118的上表面。步驟218可包含形成源極/汲極接點通孔129與閘極接點通孔128,其包含沉積第一層間介電層126、形成源極/汲極接點通孔洞與閘極接點通孔洞穿過第一層間介電層126與閘極介電蓋層120、沉積阻障層於接點通孔洞中、沉積金屬填充層於接點通孔洞中、以及平坦化接點通孔。步驟218亦可包含形成金屬線路130,其包含沉積第二層間介電層132、形成金屬線路溝槽、沉積阻障層、以及沉積金屬填充層於金屬線路溝槽中。第二間隔物116可直接接觸源極/汲極接點118中的金屬填充層或阻障層,端視阻障層是否形成而定。
因此此處所述的多種實施例與習知技術相較,可提供多種優點。應理解的是此處不必說明所有優點、所有實施例不必具有特定優點、且其他實施粒可提供不同優點。舉例來說,本發明實施例的半導體結構包含第一間隔物位於閘極結構的下側部分之側壁上,以及第二監格鎢位於閘極結構的上側部分之側壁上。第二間隔物沿著垂直於基板的方向堆疊於第一間隔物上,且半導體結構位於基板上。第一間隔物的高度對應源極/汲極結構的高度,而第二間隔物的高度對應源極/汲極接點的高度。此半導體結構可讓第一間隔物與第二間隔物具有不同厚度、不同組成、與不同介電常數以符合不同的設計需求,比如加大著陸面積、降低寄生電容、加大製程容許範圍、改善裝置可信度,可提供更多空間以用於形成源極/汲極結構。
因此本發明一實施例提供半導體裝置,其包括:鰭狀物自基板延伸;閘極結構位於通道區上;第一間隔物,沿著閘極結構的下側部分之側壁延伸;以及第二間隔物,沿著閘極結構的上側部分之側壁延伸。鰭狀物包括通道區以及與通道區相鄰的源極/汲極區。閘極結構包括上側部分與下側部分。第二間隔物位於第一間隔物的上表面上。第一間隔物的組成為一第一介電材料,第二間隔物的組成為一第二介電材料,且第一介電材料與第二介電材料不同。
在一些實施例中,半導體裝置更包括源極/汲極結構位於源極/汲極區上,第二間隔物位於源極/汲極結構的一部分上。在一些實施方式中,第二間隔物包括氣隙,且第一間隔物不具有任何氣隙。在一些例子中,第一間隔物與第二間隔物摻雜碳。第二間隔物的第二碳濃度大於第一間隔物的第一碳濃度。在一些實施例中,第一間隔物包括第一介電常數,第二間隔物包括第二介電常數,且第二介電常數大於第一介電常數。在一些實施方式中,第一間隔物包括自閘極結構量測的第一厚度T1,且第二間隔物包括自閘極結構量測的第二厚度T2。第一厚度T1與第二厚度T2不同。在一些例子中,第二厚度T2與第一厚度T1的比例(T2/T1)介於約1.05至1.5之間。在一些其他例子中,第一厚度T1與第二厚度T2的比例(T1/T2)介於約1.05至1.5之間。
在另一實施例中,提供半導體裝置,其包括:鰭狀物自基板延伸;閘極結構位於鰭狀物的通道區上;閘極頂部介電層位於閘極結構上;第一間隔物沿著閘極結構的下側部分之側壁延伸;以及第二間隔物沿著閘極結構的上側部分之側壁延伸。第二間隔物堆疊於第一間隔物的上表面上。第二間隔物接觸閘極頂部介電層,且第一間隔物與閘極頂部介電層隔開。鰭狀物包括通道區以及與通道區相鄰的源極/汲極區,且閘極結構包括上側部分與下側部分。
在一些實施例中,閘極頂部介電層的側壁接觸第二間隔物。在一些實施例中,閘極頂部介電層的上表面接觸第二間隔物。在一些實施方式中,半導體裝置更包括源極/汲極結構位於源極/汲極區上。第二間隔物位於源極/汲極結構的一部分上。在一些例子中,閘極結構包括閘極介電層與閘極,且第一間隔物與第二間隔物接觸閘極介電層。在一些實施例中,半導體裝置更包括源極/汲極接點電性連接至源極/汲極區上的源極/汲極結構,源極/汲極接點包括阻障層,且阻障層接觸第二間隔物。在一些實施方式中,第一間隔物與第二間隔物摻雜碳。第二間隔物的第二碳濃度大於第一間隔物的第一碳濃度。在一些例子中,第一間隔物包括第一介電常數,第二間隔物包括第二介電常數,且第二介電常數大於第一介電常數。在一些實施例中,第一間隔物包括自閘極結構量測的第一厚度T1,第二間隔物包括自閘極結構量測的第二厚度T2,其中第一厚度T1與第二厚度T2不同。
在又一實施例中,提供半導體裝置的形成方法。方法包括接收工件。工件包括基板;鰭狀物自基板延伸,且鰭狀物包括通道區以及與通道區相鄰的源極/汲極區;以及閘極結構,位於通道區上。方法更包括沿著閘極結構的側壁形成第一間隔物;移除第一間隔物的上側部分;形成源極/汲極結構於源極/汲極區上;以及形成第二間隔物於第一間隔物與源極/汲極結構的一部分上。
在一些實施例中,形成第二間隔物的步驟包括:沉積第一介電層於閘極結構的側壁上;沉積第二介電層於第一介電層上;沉積第三介電層於第二介電層上;以及移除第二介電層以形成氣隙。在一些實施例中,形成第一間隔物的步驟包括沉積第一介電層,形成第二間隔物的步驟包括沉積第二介電層,且第二介電層的碳含量大於第一介電層的碳含量。
上述實施例之特徵有利於本技術領域中具有通常知識者理解本揭露。本技術領域中具有通常知識者應理解可採用本揭露作基礎,設計並變化其他製程與結構以完成上述實施例之相同目的及/或相同優點。本技術領域中具有通常知識者亦應理解,這些等效置換並未脫離本揭露精神與範疇,並可在未脫離本揭露之精神與範疇的前提下進行改變、替換、或更動。
H1:第一高度 H2:第二高度 H3:第三高度 H4:第四高度 T1:第一厚度 T2:第二厚度 T3:第三厚度 T4:第四厚度 X1-X1’,X2-X2’:剖面 10:工件 100:鰭狀場效電晶體裝置 102:基板 104N:n型井 104P:p型井 106:隔離區 108:鰭狀物 109:源極/汲極結構 110:閘極結構 111:金屬層 112:第一間隔物 114:閘極介電層 116:第二間隔物 117:氣隙 118:源極/汲極接點 120:閘極介電蓋層 122:介電閘極 124:閘極末端介電層 126:第一層間介電層 128:閘極接點通孔 129:源極/汲極接點通孔 130:金屬線路 132:第二層間介電層 200:方法 202,204,206,208,210,212,214,216,218:步驟 1100:通道區 1200:源極/汲極區
圖1係本發明多種實施例中,鰭狀場效電晶體裝置的上視圖。 圖2a、3a、4a、與5a係本發明多種實施例中,圖1中的鰭狀場效電晶體裝置沿著剖面X1-X1’的剖視圖。 圖2b、3b、4b、與5b係本發明多種實施例中,圖1中的鰭狀場效電晶體裝置沿著剖面X2-X2’的剖視圖。 圖6係本發明一些實施例中,含有堆疊閘極間隔物的半導體裝置的製作方法之流程圖。
H3:第三高度
H4:第四高度
T1:第一厚度
T2:第二厚度
10:工件
102:基板
104P:p型井
106:隔離區
110:閘極結構
111:金屬層
112:第一間隔物
114:閘極介電層
116:第二間隔物
118:源極/汲極接點
120:閘極介電蓋層
126:第一層間介電層
128:閘極接點通孔
130:金屬線路
132:第二層間介電層

Claims (1)

  1. 一種半導體裝置,包括: 一鰭狀物,自一基板延伸,且該鰭狀物包括一通道區以及與該通道區相鄰的一源極/汲極區; 一閘極結構,位於該通道區上,且該閘極結構包括一上側部分與一下側部分; 一第一間隔物,沿著該閘極結構的該下側部分之側壁延伸;以及 一第二間隔物,沿著該閘極結構的該上側部分之側壁延伸, 其中該第二間隔物位於該第一間隔物的上表面上, 其中該第一間隔物的組成為一第一介電材料,該第二間隔物的組成為一第二介電材料,且該第一介電材料與該第二介電材料不同。
TW109101437A 2019-04-24 2020-01-16 半導體裝置 TW202040824A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/392,769 US11121234B2 (en) 2019-04-24 2019-04-24 Stacked gate spacers
US16/392,769 2019-04-24

Publications (1)

Publication Number Publication Date
TW202040824A true TW202040824A (zh) 2020-11-01

Family

ID=72917331

Family Applications (1)

Application Number Title Priority Date Filing Date
TW109101437A TW202040824A (zh) 2019-04-24 2020-01-16 半導體裝置

Country Status (3)

Country Link
US (3) US11121234B2 (zh)
CN (1) CN111863964A (zh)
TW (1) TW202040824A (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI792336B (zh) * 2021-06-02 2023-02-11 力晶積成電子製造股份有限公司 金屬氧化物半導體結構的製作方法

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11121234B2 (en) 2019-04-24 2021-09-14 Taiwan Semiconductor Manufacturing Co., Ltd. Stacked gate spacers
US11282920B2 (en) * 2019-09-16 2022-03-22 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with air gap on gate structure and method for forming the same
KR20230146326A (ko) * 2022-04-12 2023-10-19 삼성전자주식회사 반도체 장치 및 이의 제조 방법

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8816444B2 (en) 2011-04-29 2014-08-26 Taiwan Semiconductor Manufacturing Company, Ltd. System and methods for converting planar design to FinFET design
US9236267B2 (en) 2012-02-09 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Cut-mask patterning process for fin-like field effect transistor (FinFET) device
US8785285B2 (en) 2012-03-08 2014-07-22 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
US8860148B2 (en) 2012-04-11 2014-10-14 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for FinFET integrated with capacitor
US8753970B2 (en) * 2012-09-12 2014-06-17 Globalfoundries Inc. Methods of forming semiconductor devices with self-aligned contacts and the resulting devices
US9105490B2 (en) 2012-09-27 2015-08-11 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US8823065B2 (en) 2012-11-08 2014-09-02 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US8772109B2 (en) 2012-10-24 2014-07-08 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for forming semiconductor contacts
US9236300B2 (en) 2012-11-30 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Contact plugs in SRAM cells and the method of forming the same
US9136106B2 (en) 2013-12-19 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
US9147576B2 (en) * 2014-01-23 2015-09-29 International Business Machines Corporation Gate contact with vertical isolation from source-drain
US9735256B2 (en) * 2014-10-17 2017-08-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method and structure for FinFET comprising patterned oxide and dielectric layer under spacer features
US9559184B2 (en) * 2015-06-15 2017-01-31 Taiwan Semiconductor Manufacturing Company, Ltd. Devices including gate spacer with gap or void and methods of forming the same
KR102396111B1 (ko) * 2015-06-18 2022-05-10 삼성전자주식회사 반도체 소자 및 그 제조 방법
US9520482B1 (en) 2015-11-13 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of cutting metal gate
US9786737B2 (en) * 2015-12-03 2017-10-10 International Business Machines Corporation FinFET with reduced parasitic capacitance
TWI727068B (zh) * 2017-07-03 2021-05-11 聯華電子股份有限公司 半導體裝置以及其製作方法
US10388747B1 (en) * 2018-03-28 2019-08-20 Globalfoundries Inc. Gate contact structure positioned above an active region with air gaps positioned adjacent the gate structure
US10522649B2 (en) * 2018-04-27 2019-12-31 International Business Machines Corporation Inverse T-shaped contact structures having air gap spacers
US11121234B2 (en) 2019-04-24 2021-09-14 Taiwan Semiconductor Manufacturing Co., Ltd. Stacked gate spacers

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI792336B (zh) * 2021-06-02 2023-02-11 力晶積成電子製造股份有限公司 金屬氧化物半導體結構的製作方法

Also Published As

Publication number Publication date
US11728411B2 (en) 2023-08-15
CN111863964A (zh) 2020-10-30
US20230369459A1 (en) 2023-11-16
US20200343359A1 (en) 2020-10-29
US20210408262A1 (en) 2021-12-30
US11121234B2 (en) 2021-09-14

Similar Documents

Publication Publication Date Title
CN109786250B (zh) 半导体装置及其形成方法
CN107591334B (zh) 用于放置在具有高k介电栅极的半导体主动区内的栅极接触的方法及设备
US9660022B2 (en) Semiconductive device with a single diffusion break and method of fabricating the same
TWI548096B (zh) 於鰭式場效電晶體半導體裝置上形成接觸結構的方法及其所產生的裝置
CN109273428B (zh) 集成电路的预金属化电介质或层间电介质层中的接触结构
TWI466293B (zh) 具有金屬閘極堆疊之積體電路與其形成方法
TWI390708B (zh) 積體電路
TW202040824A (zh) 半導體裝置
TWI573274B (zh) 半導體結構及其製造方法
TWI697985B (zh) 半導體裝置及其製造方法
TW202127662A (zh) 半導體裝置
TW202008445A (zh) 半導體裝置的形成方法
CN110838520A (zh) 半导体装置的制作方法
TWI749363B (zh) 在閘極與源極/汲極接觸之間具有絕緣層的finfet
TW202105735A (zh) 半導體裝置
US9893184B2 (en) Fin-type field effect transistor device and method of fabricating the same
TW202141736A (zh) 具有降低電容耦合之氣隙的半導體記憶體元件及其製備方法
CN112992857A (zh) 侧壁互连结构中带散热管道的半导体装置及其制造方法及电子设备
CN114388501A (zh) 半导体结构及其形成方法
TW202117858A (zh) 半導體裝置的形成方法
TWI731390B (zh) 互連結構、電路及包括該互連結構或電路的電子設備
TW202205596A (zh) 半導體裝置
KR20210046915A (ko) 반도체 소자
WO2023070977A1 (zh) 半导体结构及其制造方法
CN114068700B (zh) 半导体结构及其形成方法