TW202008445A - 半導體裝置的形成方法 - Google Patents

半導體裝置的形成方法 Download PDF

Info

Publication number
TW202008445A
TW202008445A TW108126108A TW108126108A TW202008445A TW 202008445 A TW202008445 A TW 202008445A TW 108126108 A TW108126108 A TW 108126108A TW 108126108 A TW108126108 A TW 108126108A TW 202008445 A TW202008445 A TW 202008445A
Authority
TW
Taiwan
Prior art keywords
layer
metal
gate
oxide
etched
Prior art date
Application number
TW108126108A
Other languages
English (en)
Inventor
江國誠
黃麟淯
蘇煥傑
王聖璁
林志昌
游家權
張家豪
林天祿
林佑明
王志豪
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202008445A publication Critical patent/TW202008445A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28247Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon passivation or protection of the electrode, e.g. using re-oxidation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76819Smoothing of the dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/7684Smoothing; Planarisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76849Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

半導體裝置的形成方法包括:提供裝置,其包括具有金屬閘極層的閘極堆疊。裝置亦包括位於閘極堆疊之側壁上的間隔物層,以及與閘極堆疊相鄰的源極/汲極結構。方法更包括對金屬閘極層進行第一回蝕刻製程,以形成回蝕刻的金屬閘極層。在一些實施例中,方法包括沉積金屬層於回蝕刻的金屬閘極層上。在一些例子中,形成半導體層於金屬層與間隔物層上,以提供閘極堆疊與間隔物層上的T形蓋層。

Description

半導體裝置的形成方法
本發明實施例關於半導體裝置,更特別關於T形蓋層與其形成方法。
電子產業對更小且更快速的電子裝置(同時支援更多複雜功能)的需求持續增加。綜上所述,半導體產業中的持續趨勢為製作低成本、高效能、與低能耗的積體電路。達到這些遠程目標的大部份方法為縮小半導體積體電路尺寸(如最小結構尺寸),以改善產能並降低相關成本。然而縮小尺寸會增加半導體製程的複雜度。因此為了實現半導體積體電路與裝置中的持續進展,半導體製程與技術亦需類似進展。
近來導入多閘極裝置以增加閘極-通道的耦合、降低關閉狀態的電流、並減少短通道效應以改善閘極控制。多閘極裝置的一者為鰭狀場效電晶體。鰭狀場效電晶體的名稱來自於自基板凸起的鰭狀結構,且鰭狀結構用於形成場效電晶體的通道。鰭狀場效電晶體可與習知的互補式金氧半製程相容,且其三維結構可在大幅縮小尺寸時仍維持閘極控制並緩解短通道效應。然而就算是導入鰭狀場效電晶體,大幅縮小積體電路尺寸仍造成寄生電容(比如鰭狀場效電晶體閘極與源極/汲極區或源極/汲極接點之間的寄生電容)增加或其他問題,進而劣化裝置效能。因此現有技術仍未證明可完全符合所有方面的需求。
本發明一實施例提供之半導體裝置的形成方法,包括:提供裝置,其包括具有金屬閘極層的閘極堆疊,位於閘極堆疊之側壁上的間隔物層、以及與閘極堆疊相鄰的源極/汲極結構;對金屬閘極層進行第一回蝕刻製程,以形成回蝕刻的金屬閘極層;沉積金屬層於回蝕刻的金屬閘極層上;以及形成半導體層於金屬層與間隔物層上,以提供閘極堆疊與間隔物層上的T形蓋層。
本發明一實施例提供之半導體裝置的形成方法,包括:提供裝置,其包括具有回蝕刻的金屬閘極層的閘極堆疊,以及位於閘極堆疊兩側側壁上的回蝕刻的間隔物層,其中回蝕刻的金屬閘極層與回蝕刻的間隔物層一起定義T形開口;沉積無氟鎢層於回蝕刻的金屬閘極層上;以及形成第一蓋層於無氟鎢層上及T形開口中。
本發明一實施例提供之半導體裝置,包括:閘極堆疊,包括金屬閘極層;側壁間隔物,位於閘極堆疊的兩側側壁上;第一T形蓋層,順應性地形成於閘極堆疊與側壁間隔物上;以及第二T形蓋層,形成於第一T形蓋層上。
下述揭露內容提供的不同實施例或實例可實施本揭露的不同結構。下述特定構件與排列的實施例係用以簡化本揭露而非侷限本揭露。舉例來說,形成第一構件於第二構件上的敘述包含兩者直接接觸的實施例,或兩者之間隔有其他額外構件而非直接接觸的實施例。另一方面,本揭露之多個實例可重複採用相同標號以求簡潔,但多種實施例及/或組態中具有相同標號的元件並不必然具有相同的對應關係。
此外,空間性的相對用語如「下方」、「其下」、「較下方」、「上方」、「較上方」、或類似用語可用於簡化說明某一元件與另一元件在圖示中的相對關係。空間性的相對用語可延伸至以其他方向使用之元件,而非侷限於圖示方向。元件亦可轉動90°或其他角度,因此方向性用語僅用以說明圖示中的方向。
值得注意的是,本發明實施例提供的多閘極電晶體或鰭狀多閘極電晶體,可稱作鰭狀場效電晶體裝置。此裝置可包含p型金氧半鰭狀場效電晶體裝置或n型金氧半鰭狀場效電晶體裝置。鰭狀場效電晶體裝置可為雙閘極裝置、三閘極裝置、基體裝置、絕緣層上矽裝置、及/或其他設置。本技術領域中具有通常知識者應理解,本發明實施例亦有利於半導體裝置的其他實施例。舉例來說,此處所述的一些實施例亦可用於全繞式閘極裝置、Ω閘極裝置、或Π閘極裝置。
圖1顯示鰭狀場效電晶體裝置100。鰭狀場效電晶體裝置100可包含一或多個鰭狀物為主的多閘極場效電晶體。鰭狀場效電晶體裝置100包含基板102、自基板102延伸的至少一鰭狀單元104、隔離區106、與位於鰭狀單元104上並圍繞鰭狀單元104的閘極結構108。基板102可為半導體基板如矽基板。基板可包含多種層狀物,其包含導電層或絕緣層形成於半導體基板上。基板可包含多種摻雜設置,端視本技術領域已知的設計需求而定。基板亦可包含其他半導體如鍺、碳化矽、矽鍺、或鑽石。在其他實施例中,基板可包含半導體化合物及/或半導體合金。此外,一些實施例的基板可包含磊晶層、可具有應力以增進效能、可包含絕緣層上半導體結構、及/或可具有其他合適的增進結構。
鰭狀單元104與基板102類似,可包含矽或另一半導體元素如鍺;半導體化合物如碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦、及/或銻化銦;半導體合金如矽鍺、磷砷化鎵、砷化鋁銦、砷化鋁鎵、砷化鎵銦、磷化鎵銦、及/或磷砷化鎵銦;或上述之組合。鰭狀單元104的製作方法可採用合適製程,包括光微影與蝕刻製程。光微影製程可包含形成光阻層於基板(如矽層)上、曝光光阻至一圖案、進行曝光後烘烤製程、以及顯影光阻以形成含光阻的遮罩單元。在一些實施例中,圖案化光阻層以形成遮罩單元的方法,可採用電子束微影製程。接著以蝕刻製程形成凹陷於矽層中,並採用遮罩單元保護基板的一些區域,以形成延伸的鰭狀單元104。上述凹陷的蝕刻方法可採用乾蝕刻如化學氧化物移除、濕蝕刻、及/或其他合適製程。亦可採用多種其他實施例的方法,以形成鰭狀單元104於基板102上。
多個鰭狀單元104的每一者亦包含源極區105與汲極區107形成於鰭狀單元104之中、形成於鰭狀單元104之上、及/或圍繞鰭狀單元104。源極區105與汲極區107可磊晶成長於鰭狀單元104上。電晶體的通道區位於鰭狀單元104之中及閘極結構108之下,且沿著實質上平行於圖1的剖面AA’的平面。在一些實施例中,鰭狀物的通道區包括高載子移動率的材料如鍺、上述的任何半導體化合物或半導體合金、及/或上述之組合。高載子移動率的材料之電子移動率大於矽的電子移動率。舉例來說,高載子移動率的材料的載子移動率需大於矽於室溫下的固有電子移動率如約1350cm2 /V-s與電洞移動率如約480cm2 /V-s。
隔離區106可為淺溝槽隔離結構。在其他實施例中,可實施場氧化物、局部氧化矽結構、及/或其他合適的隔離結構於基板102之上及/或之中。隔離區106的組成可為氧化矽、氮化矽、氮氧化矽、摻雜氟的矽酸鹽玻璃、低介電常數的介電層。上述之組合、及/或本技術領域已知的其他合適材料。在一實施例中,隔離結構為淺溝槽隔離結構,其形成方法可為蝕刻溝槽於基板102中。接著可將隔離材料填入溝槽,再進行化學機械研磨製程。然而其他實施例的方法亦屬可能。在一些實施例中,隔離區106可包含多層結構,比如具有一或多個襯墊層。
閘極結構108包含閘極堆疊,其含有閘極介電層110與形成於閘極介電層110上的金屬層112。在一些實施例中,閘極介電層110可包含界面層形成於鰭狀單元104的通道區上,以及高介電常數的介電層形成於界面層上。閘極介電層110的界面層可包含介電材料如氧化矽或氮氧化矽。閘極介電層110的高介電常數的介電層可包含氧化鉿、氧化鈦、氧化鉿鋯、氧化鉭、氧化鉿矽、氧化鋯、氧化鋯矽、上述之組合、或其他合適材料。在其他實施例中,閘極介電層110可包含氧化矽或另一合適介電層。閘極介電層110的形成方法可為化學氧化、熱氧化、原子層沉積、物理氣相沉積、化學氣相沉積、及/或其他合適方法。金屬層112可包含導電層如鎢、氮化鈦、氮化鉭、氮化鎢、錸、銥、釕、鉬、鋁、銅、鈷、鎳、上述之組合、及/或其他合適組成。在一些實施例中,金屬層112可包含第一組的金屬材料以用於n型鰭狀場效電晶體,與第二組的金屬材料以用於p型鰭狀場效電晶體。因此鰭狀場效電晶體裝置100可包含雙功函數金屬閘極設置。舉例來說,第一金屬材料(比如用於n型裝置)的功函數可實質上對準基板導帶的功函數,或至少實質上對準鰭狀單元104的通道區導帶的功函數。舉例來說,第二金屬材料(比如用於p型裝置)的功函數可實質上對準基板價帶的功函數,或至少實質上對準鰭狀單元104的通道區價帶的功函數。因此金屬層112可提供鰭狀場效電晶體裝置100 (包括n型與p型的鰭狀場效電晶體裝置100)所用的閘極。在一些實施例中,金屬層112可改為包含多晶矽層。金屬層112的形成方法可採用物理氣相沉積、化學氣相沉積、電子束蒸鍍、及/或其他合適製程。在一些實施例中,側壁間隔物形成於閘極結構108的側壁上。側壁間隔物可包含介電材料如氧化矽、氮化矽、碳化矽、氮氧化矽、或上述之組合。
如上所述,大幅縮小積體電路尺寸會造成寄生電容(比如鰭狀場效電晶體閘極與源極/汲極區或源極/汲極接點之間的寄生電容)增加與其他問題,此將劣化裝置效能。舉例來說,為避免電晶體源極/汲極與閘極之間的金屬橋接,可導入自對準接點的製作方法。然而在至少一些習知自對準接點的製作方法中,採用的材料與方法會造成高總電容並劣化裝置速度。此外,至少一些現有製程在回蝕刻製程(比如氮化矽的回蝕刻製程)時,可能造成金屬層損失、層間介電層損失、及/或間隔物損失。至少一些現有自對準接點的製作方法具有的其他問題,包括金屬閘極氧化、閘極高度損失及/或負載、與其他問題。因此現有技術仍未證明可完全符合所有方面的需求。
本發明實施例比現有技術提供更多優點,但應理解其他實施例可提供不同優點,此處不必說明所有優點,且所有實施例不必具有特定優點。舉例來說,此處所述的實施例包含的結構與方法可用於降低電晶體的總電容、抵抗層狀物損失、避免金屬閘極氧化、緩解閘極高度損失及/或負載、與其他效果,進而改善裝置效能。在一些實施例中,提供雙層蓋的自對準接點方案,其可用於提供低總電容與源極/汲極金屬的高蝕刻選擇性。在一些實施例中,雙層蓋包括T形蓋,其包含低介電常數的材料。此外,一些實施例在回蝕刻製程(如氮化矽的回蝕刻製程)時可採用襯墊層(如金屬氧化物襯墊層)保護金屬層(如無氟鎢層)、層間介電層、與間隔物層,以避免功函數金屬誘發的臨界電壓偏移。此處所述的多種實施例一般可用於減少製程成本與複雜度。額外實施例與優點將說明如下,及/或理解本發明實施例的本技術領域中具有通常知識者所能輕易得知。
圖2係一或多個實施例中,製作含有T形蓋的半導體裝置(如鰭狀場效電晶體裝置)的方法200,比如自對準接點製程的一部份。在一些實施例中,方法200可用於製作鰭狀場效電晶體裝置100,如搭配圖1說明的上述內容。因此搭配鰭狀場效電晶體裝置100說明的一或多個上述實施例可用於方法200。此外,圖3、4A、4B、5A、5B、6A、6B、7A、7B、8A、8B、9A、9B、10A、10B、11A、與11B係依據圖2的方法200之一或多個步驟製作的例示性裝置300、300A、300B、1100A、與1100B,沿著實質上平行於圖1的剖面AA’的平面之剖視圖。
可以理解的是,方法200及/或裝置300的部份可由已知的互補式金氧半技術製程製作,因此此處僅簡述一些製程。此外,裝置300、300A、300B、1100A、與1100B可共用鰭狀場效電晶體裝置100的實施例,因此此處僅簡述裝置300、300A、300B、1100A、與1100B的一些實施例及/或製程,以利清楚理解本發明實施例。此外,裝置300、300A、300B、1100A、與1100B可包含多種其他裝置與結構,比如額外電晶體、雙極性接面電晶體、電阻、電容、二極體、熔絲、或類似物,但圖式中已簡化這些裝置以利理解本發明實施例的發明概念。此外,一些實施例的裝置300、300A、300B、1100A、與1100B包含多個半導體裝置如電晶體,其可彼此內連線。
在多種實施例中,裝置300、300A、300B、1100A、與1100B可為製作積體電路時的中間裝置或其部份,且積體電路可包含靜態隨機存取記憶體及/或其他邏輯電路、被動構件(如電阻、電容、或電感)、或主動構件(如p型通道場效電晶體、n型通道場效電晶體、金氧半場效電晶體、高電壓電晶體、高頻電晶體、其他記憶體單元、及/或上述之組合)。
方法200一開始的步驟202提供含有閘極結構的裝置。如圖3所示,步驟202的一實施例中的裝置300包括自基板延伸的鰭狀物302,以及含有閘極介電層304及位於閘極介電層304上的金屬層306的閘極堆疊。在一些實施例中,基板、鰭狀物302、閘極介電層304、及金屬層306,可與搭配圖1說明如上的基板102、鰭狀單元104、閘極介電層110、及金屬層112實質上類似。裝置300亦包含多個側壁間隔物308、310、與312形成於閘極堆疊的側壁上。在一些實施例中,側壁間隔物308、310、與312包括介電材料如氧化矽、氮化矽、碳化矽、氮氧化矽、碳氧化矽、碳氮氧化矽、碳氮化矽、或上述之組合。
在一些實施例中,裝置300亦包括磊晶的源極/汲極結構316,其形成方法可為一或多道磊晶製程。在一些例子中,磊晶的源極/汲極結構316可形成於鰭狀物302之中、形成於鰭狀物302之上、及或圍繞鰭狀物302。在多種實施例中,可形成矽化物層於磊晶的源極/汲極結構上,以降低接點電阻。在一些例子中,裝置300包含層間介電層318於磊晶的源極/汲極結構316上,以夾設於相鄰的閘極結構之間。舉例來說,層間介電層318可包含氧化物層、低介電常數的介電層、或其他合適的介電層。在一些實施例中,襯墊層314夾設於側壁間隔物308、310、與312以及層間介電層318之間,並夾設於磊晶的源極/汲極結構316以及層間介電層318之間。在一些例子中,可在形成層間介電層318之前形成襯墊層314。在一些實施例中,襯墊層314包含氮化矽層。
方法200的步驟204接著進行回蝕刻製程。如圖3所示的例子,步驟204的一實施例進行金屬閘極的回蝕刻製程,以回蝕刻金屬層306並視情況回蝕刻閘極介電層304。在一些實施例中,金屬閘極的回蝕刻製程可包含濕蝕刻、乾蝕刻、或上述之組合。在步驟204的其他實施例中,側壁的回蝕刻製程可用於回蝕刻側壁間隔物312與襯墊層314。在一些例子中,側壁的回蝕刻製程可包含濕蝕刻、乾蝕刻、或上述之組合。在多種例子中,可在側壁的回蝕刻製程之前,先進行金屬閘極的回蝕刻製程。在一些其他實施例中,可在金屬閘極的回蝕刻製程之前,先進行側壁的回蝕刻製程。亦應注意的是,步驟204的回蝕刻製程會造成大致T形開口320於閘極堆疊上,如圖3所示。
在步驟204之後,一些實施例可接著進行方法200的步驟206-1,以沉積金屬層與氮化物層,並進行化學機械研磨製程。如圖3與4A的例子所示,步驟206-1的一實施例沉積金屬層於T形開口320中的回蝕刻的金屬層306上。在一些實施例中,金屬層402包括鎢,但亦可採用其他合適金屬。在至少一些例子中,金屬層402包括無氟鎢層。在多種實施例中,金屬層402的沉積方法可採用化學氣相沉積、原子層沉積、物理氣相沉積、或其他合適方法。在步驟206-1的其他實施例中,氮化物層404沉積於金屬層402、回蝕刻的側壁間隔物312、與襯墊層314上,其中氮化物層404實質上填入T形開口320以提供T形蓋層。在一些實施例中,氮化物層404包括氮化矽層。在一些實施例中,可沉積非晶矽層(而非氮化矽層)於金屬層402上,以實質上填入T形開口320。在多種實施例中,氮化物層404 (或非晶矽)的沉積方法可為原子層沉積、化學氣相沉積、物理氣相沉積、或另一合適方法。在多種實施例中,氮化物層404可改為包含介電材料如氧化矽、碳氮化矽、碳氧化矽、碳氮氧化矽、低介電常數的介電材料、非晶矽、或上述之組合。在一些實施例中,上述層狀物的介電常數小於7。在沉積氮化物層404 (或非晶矽層)之後,步驟206-1的一實施例中可進行化學機械研磨製程。在一些例子中,進行化學機械研磨製程以平坦化裝置300的上表面。為了清楚說明方法200的其餘內容,依據步驟206-1處理的裝置300可稱作裝置300A,如圖4A至10A所示。
在其他實施例中,在步驟204之後可進行方法200的步驟206-2以沉積金屬層、襯墊層、與氮化物層,並進行化學機械研磨製程。如圖3與4B所示,步驟206-2的一實施例沉積金屬層402於T形開口320中回蝕刻的金屬層306上。在一些實施例中,金屬層402可與搭配圖4A說明的上述內容實質上相同。在步驟206-2的其他實施例中,形成金屬層402之後可順應性地沉積襯墊層406於T形開口320中,比如沉積於金屬層402上與相鄰的間隔物及/或層間介電層的側壁上。在一些實施例中,襯墊層406包括高介電常數材料層如氧化鉿、氧化鋯、氧化鋁、或其他合適的高介電常數材料,其中高介電常數材料層的沉積方法可為化學氣相沉積、原子層沉積、或其他合適方法。在一些實施例中,襯墊層406的厚度介於約0.5nm至約5nm之間。在一些例子中,形成襯墊層406之後可沉積氮化物層404於襯墊層406上,其中氮化物層404實質上填入T形開口320。在一些實施例中,氮化物層404可與搭配圖4A說明的上述內容實質上相同。在一些實施例中,可沉積非晶矽層(而非氮化矽)於襯墊層406上,其中非晶矽層實質上填入T形開口320。在沉積氮化物層404 (或非晶矽層)之後,步驟206-2的一些實施例可進行化學機械研磨製程,以平坦化裝置300的上表面。為了清楚說明方法200的其餘內容,依據步驟206-2處理的裝置300可稱作裝置300B,如圖4B至10B所示。
在步驟206-1或206-2之後,方法200的步驟208進行回蝕刻製程、沉積介電層、與進行化學機械研磨製程。如圖4A與5A所示的裝置300A,步驟208的一實施例回蝕刻氮化物層404 (或非晶矽層),以提供回蝕刻的氮化物層404A (或回蝕刻的非晶矽層)。在一些實施例中,回蝕刻製程可包含濕蝕刻、乾蝕刻、或上述之組合。在步驟208的其他實施例中,之後沉積介電層502A於回蝕刻的氮化物層404A上。在一些實施例中,介電層502A包括高介電常數的介電層如氧化鉿、氧化鈦、氧化鉿鋯、氧化鉭、氧化鉿矽、氧化鋯、氧化鋯矽、氧化鋁、上述之組合、或其他合適材料。在一些實施例中,介電層502A的介電常數大於7。在多種例子中,介電層502A的沉積方法可為原子層沉積、化學氣相沉積、或其他合適的沉積製程。在沉積介電層502A之後,步驟208的一實施例進行化學機械研磨製程,以平坦化裝置300A的上表面。
如圖4B與5B所示的裝置300B,步驟208的其他實施例回蝕刻氮化物層404 (或非晶矽層)以提供回蝕刻的氮化物層404B (或回蝕刻的非晶矽層)。在一些實施例中,在形成回蝕刻的氮化物層404B時或在形成回蝕刻的氮化物層404B之後,亦可回蝕刻襯墊層406。在一些實施例中,回蝕刻製程可包括濕蝕刻、乾蝕刻、或上述之組合。步驟208的其他實施例之後可沉積介電層502B於回蝕刻的氮化物層404B (或視情況回蝕刻的襯墊層406)上。在一些實施例中,介電層502B包括高介電常數的介電層如氧化鉿、氧化鈦、氧化鉿鋯、氧化鉭、氧化鉿矽、氧化鋯、氧化鋯矽、氧化鋁、上述之組合、或其他合適材料,且其沉積方法可為原子層沉積、化學氣相沉積、或其他合適的沉積製程。在一些實施例中,介電層502B的介電常數大於7。在沉積介電層502B之後,步驟208的一實施例進行化學機械研磨製程,以平坦化裝置300A的上表面。
方法200的步驟210沉積層間介電層,並形成接點開口。如圖6A (裝置300A)與圖6B (裝置300B)所示的例子,步驟210的一實施例沉積層間介電層602於裝置300A與裝置300B的每一者上。舉例來說,層間介電層602可包含氧化物層、低介電常數的介電層、或其他合適的介電層。在形成層間介電層602之後,可進行源極/汲極接點的圖案化與蝕刻製程。舉例來說,源極/汲極接點的圖案化製程可包含沉積光阻層於層間介電層602上、曝光光阻至一圖案、進行曝光後烘烤製程、以及顯影光阻以形成圖案化的光阻層(如遮罩單元)於層間介電層602上。在一些實施例中,接著採用蝕刻製程形成開口603於層間介電層602中,並形成接點開口604以露出磊晶的源極/汲極結構316時,可採用圖案化的光阻層保護基板的一些區域,如圖6A與6B所示。在多種實施例中,開口603與接點開口604的蝕刻方法可採用乾蝕刻、濕蝕刻、或上述之組合。在一些例子中,形成開口603與接點開口604的製程之一部份中,蝕刻製程可蝕刻層間介電層602、磊晶的源極/汲極結構316上的層間介電層318、與介電層502A及502B的部份。一些例子在蝕刻製程時,介電層502A與502B可保護回蝕刻的氮化物層404A與404B (或回蝕刻的非晶矽層)。
方法200的步驟212沉積接點金屬,並進行化學機械研磨製程。如圖7A (裝置300A)與圖7B (裝置300B)的例子所示,步驟212的一實施例沉積金屬層702於裝置300A與裝置300B的每一者上。具體而言,金屬層702可沉積於磊晶的源極/汲極結構316上的接點開口604與開口603中,使金屬層702提供電性連接至磊晶的源極/汲極結構316。在至少一些例子中,金屬層702包含鈷層,但在不偏離本發明實施例範疇的情況下可採用其他合適金屬。在一些例子中,金屬層702的沉積方法可為物理氣相沉積、電子束蒸鍍、化學氣相沉積、原子層沉積、或其他合適方法。在形成金屬層702之後,步驟212的其他實施例進行化學機械研磨製程。以圖8A與8B為例,可進行化學機械研磨製程以移除金屬層702的部份,以平坦化裝置300A與300B的上表面。此外,一些實施例的化學機械研磨製程可用於移除層間介電層602,以及自回蝕刻的氮化物層404A與404B (或回蝕刻的非晶矽層)上移除介電層502A與502B。在一些例子中,化學機械研磨製程亦可使層間介電層318的保留部份凹陷,如圖所示。
在步驟212之後,一些實施例可接著進行方法200的步驟214-1,以回蝕刻接點金屬。如圖8A與9A所示的裝置300A的例子,步驟214-1的一實施例可回蝕刻金屬層702以提供回蝕刻的金屬層702A與凹陷902。在一些實施例中,接點金屬的回蝕刻製程可包含濕蝕刻、乾蝕刻、或上述之組合。
一些其他實施例在步驟212之後,可進行方法200的步驟214-2以回蝕刻氮化物層、沉積介電層、並進行接點金屬的回蝕刻製程。如圖8B與9B所示的裝置300B,步驟214-2的一實施例可回蝕刻金屬層702以提供回蝕刻的金屬層702A與凹陷902。在一些實施例中,接點金屬的回蝕刻製程可包含濕蝕刻、乾蝕刻、或上述之組合。此外,一些實施例中亦可採用乾蝕刻、濕蝕刻、或上述之組合回蝕刻及/或完全移除位於襯墊層406上的回蝕刻的氮化物層404B (或回蝕刻的非晶矽層),並置換為氧化物層904 (如低介電常數的介電層)。在一些實施例中,氧化物層904可由化學氣相沉積、原子層沉積、或其他合適製程,沉積於移除回蝕刻的氮化物層404B所形成的開口中的襯墊層406上。在多種例子中,可在移除氮化物層與沉積氧化物層之前,先進行接點金屬的回蝕刻製程。在其他實施例中,可在進行接點金屬的回蝕刻製程之前,先移除氮化物層與沉積氧化物層。
參考步驟214-1之後的裝置300A,方法200的步驟216-1沉積金屬蓋層、接點蝕刻停止層、與層間介電層。如圖9A與10A所示的例子中,步驟216-1的一實施例可沉積金屬蓋層1002於凹陷902中回蝕刻的金屬層702A上。在一些例子中,金屬蓋層1002可避免回蝕刻的金屬層702氧化。在一些實施例中,金屬蓋層1002包括鎢金屬層,其沉積方法可為物理氣相沉積、電子束蒸鍍、原子層沉積、化學氣相沉積、或其他合適方法。在步驟216-1的其他實施例中,可沉積接點蝕刻停止層1004於裝置300A上,包括沉積於凹陷902中與金屬蓋層1002上。在多種例子中,接點蝕刻停止層1004可包含碳氧化矽、碳化矽、氧化物、低介電常數的介電層、或其他合適的層狀物。在一些例子中,形成接點蝕刻停止層1004之後可進行化學機械研磨製程,以平坦化裝置300A的上表面。在一些實施例中,之後可沉積另一層間介電層於接點蝕刻停止層1004上。舉例來說,層間介電層1006可包含氧化物層、低介電常數的介電層、或其他合適的介電層。在一些例子中,在形成層間介電層1006之後,可進行化學機械研磨製程以平坦化裝置300A的上表面。
如裝置300B所示,在步驟214-2之後,方法200的步驟216-2沉積接點蝕刻停止層與層間介電層。如圖9B與10B所示的例子,步驟216-2的一實施例可沉積接點蝕刻停止層1008於裝置300B上,比如沉積於凹陷902中。在多種例子中,接點蝕刻停止層1008可包含氮化矽、低介電常數的介電層、或其他合適的層狀物。一些例子在形成接點蝕刻停止層1008之後,可進行化學機械研磨製程以平坦化裝置300B的上表面。在一些實施例中,之後可沉積另一層間介電層1010於接點蝕刻停止層1008上。舉例來說,層間介電層1010可包含氧化物層、低介電常數的介電層、或其他合適的介電層。一些例子在形成層間介電層1010之後,可進行化學機械研磨製程以平坦化裝置300B的上表面。
可對裝置300A與裝置300B進行後續製程,以形成本技術領域已知的多種結構與區域。舉例來說,後續製程可形成多種接點、通孔、及/或線路以及多層內連線結構(比如金屬層與層間介電層)於基板上,其設置為連接多種結構以形成含有一或多個鰭狀場效電晶體裝置的功能電路。在其他例子中,多層內連線可包含垂直內連線如通孔或接點,以及水平內連線如金屬線路。多種內連線結構可採用多種導電材料,包括銅、鎢、及/或矽化物。在一例中,採用鑲嵌製程及/或雙鑲嵌製程以形成銅相關的多層內連線結構。
如圖11A所示,裝置1100A的製作方法在許多方面可與裝置300A的製作方法(如搭配方法200說明的上述內容)實質上類似。因此相對於裝置300A之方法200所述的許多實施例,亦可用於裝置1100A。然而在一實施例中,製作裝置1100A的方法可省略接點金屬的回蝕刻步驟(如步驟214-1)。因此可沉積金屬蓋層、接點蝕刻停止層、與層間介電層於與圖8A所示的裝置類似的裝置上(步驟216-1),以形成圖11A的裝置1100A。
如圖11B所示,裝置1100B的製作方法在許多方面可與裝置300B的製作方法(如搭配方法200說明的上述內容)實質上類似。因此相對於裝置300B之方法200所述的許多實施例,亦可用於裝置1100B。然而一實施例在製作裝置1100B時,可省略步驟214-2中回蝕刻接點金屬的部份,但仍進行步驟214-2中氮化物層的回蝕刻與介電層的沉積等製程。因此可沉積接點蝕刻停止層與層間介電層(步驟216-2)於含有氧化物層904 (如圖9B所示)與未凹陷的金屬層702 (如圖8B所示)的裝置上,以形成圖11B所示的裝置1100B。
圖12係一或多個實施例中,製作含有T形蓋的半導體裝置(如鰭狀場效電晶體裝置)的方法1200。在一些實施例中,方法1200可用於製作鰭狀場效電晶體裝置100,如搭配圖1說明的上述內容。因此搭配上述鰭狀場效電晶體裝置100說明的一或多個實施例,亦可用於方法1200。此外,方法1200在許多方面可與方法200實質上類似。因此上述方法200的內容亦可用於方法1200。方法1200的實施例提供T形蓋的雙層(比如形成於金屬閘極上),其中第一蓋層在蝕刻製程時可保護間隔物層,並可避免第二蓋層氧化金屬閘極,如下詳述。此外,圖13至20係依據圖12的方法1200之一或多個步驟製作的例示性裝置1300,沿著實質上平行於圖1的剖面AA’的平面之剖視圖。
可以理解的是,方法1200及/或裝置1300的部份可由已知的互補式金氧半技術製程製作,因此此處僅簡述一些製程。此外,裝置1300可共用鰭狀場效電晶體裝置100的實施例,因此此處僅簡述裝置1300的一些實施例及/或製程,以利清楚理解本發明實施例。此外,裝置1300可包含多種其他裝置與結構,比如額外電晶體、雙極性接面電晶體、電阻、電容、二極體、熔絲、或類似物,但圖式中已簡化這些裝置以利理解本發明實施例的發明概念。此外,一些實施例的裝置1300包括多個半導體裝置如電晶體,其可彼此內連線。
在多種實施例中,裝置1300可為製作積體電路時的中間裝置或其部份,且積體電路可包含靜態隨機存取記憶體及/或其他邏輯電路、被動構件(如電阻、電容、或電感)、或主動構件(如p型通道場效電晶體、n型通道場效電晶體、金氧半場效電晶體、高電壓電晶體、高頻電晶體、其他記憶體單元、及/或上述之組合)。
方法1200一開始的步驟1202提供包括閘極結構的裝置。如圖13所示,步驟1202的一實施例中的裝置1300包括自基板延伸的鰭狀物1302,以及含有閘極介電層與位於閘極介電層上的金屬層之閘極結構1304。在一些實施例中,基板、鰭狀物1302、閘極介電層、及金屬層,可與搭配圖1說明如上的基板102、鰭狀單元104、閘極介電層110、及金屬層112實質上類似。裝置1300亦包含側壁間隔物1306形成於閘極結構1304的側壁上。在一些例子中,側壁間隔物1306可與上述的一或多個側壁間隔物308、310、與312實質上相同。在一些實施例中,側壁間隔物1306可包含上述的襯墊層314。
在一些實施例中,裝置1300亦包含磊晶的源極/汲極結構1308形成於鰭狀物1302之中、形成鰭狀物1302之上、及/或圍繞鰭狀物1302。在多種例子中,可形成矽化物層於磊晶的源極/汲極結構1308上,以降低接點電阻。在一些例子中,裝置1300亦包含層間介電層1310於磊晶的源極/汲極結構1308上,以夾設於相鄰閘極結構1304上的側壁間隔物1306之間。在一些實施例中,層間介電層1310可與上述的層間介電層318實質上相同。
方法1200的步驟1204接著進行回蝕刻製程。如圖13所示的例子,步驟1204的一實施例進行金屬閘極的回蝕刻製程,以回蝕刻閘極結構1304的金屬層。在一些實施例中,金屬閘極的回蝕刻製程可包括濕蝕刻、乾蝕刻、或上述之組合。在步驟1204的其他實施例中,間隔物的回蝕刻製程可用於回蝕刻側壁間隔物1306。在一些例子中,間隔物的回蝕刻製程可包含濕蝕刻、乾蝕刻、或上述之組合。在多種例子中,可在間隔物的回蝕刻製程之前,先進行金屬閘極的回蝕刻製程。在一些其他實施例中,可在金屬閘極的回蝕刻製程之前,先進行間隔物的回蝕刻製程。亦應注意的是,步驟1204的回蝕刻製程可造成大致T形開口1312於閘極結構1304上,如圖13所示。
在步驟1204之後,一些實施例可接著進行方法1200的步驟1206,以沉積第一蓋層與第二蓋層並進行化學機械研磨製程。以圖13與14為例,步驟1206的一實施例順應性地沉積第一蓋層1402於T形開口1312中的回蝕刻的閘極結構1304與回蝕刻的側壁間隔物1306上。在一些實施例中,第一蓋層1402包括氧化鑭、氧化鋁、氮氧化鋁、氧化鋯、氧化鉿、氮化矽、矽、氧化鋅、氮化鋯、氧化鋯鋁、氧化鈦、氧化鉭、氧化釔、碳氮化鉭、鋯矽化物、鉿矽化物、碳氮氧化矽、碳氧化矽、或碳氮化矽。在一些例子中,第一蓋層1402的厚度介於約1nm至約20nm之間。在多種實施例中,第一蓋層1402的沉積方法可採用化學氣相沉積、原子層沉積、物理氣相沉積、或其他合適方法。在步驟1206的其他實施例中,沉積第二蓋層1404於第一蓋層1402上,其中第二蓋層1404實質上填滿T形開口1312。在一些實施例中,第二蓋層1404包括氧化矽、氧化鑭、氧化鋁、氮氧化鋁、氧化鋯、氧化鉿、氮化矽、矽、氧化鋅、氮化鋯、氧化鋯鋁、氧化鈦、氧化鉭、氧化釔、碳氮化鉭、鋯矽化物、鉿矽化物、碳氮氧化矽、碳氧化矽、或碳氮化矽。如圖14所示的一些例子中,第二蓋層1404的第一厚度T1介於約1nm至約50nm之間,而第二厚度T2介於約1nm至約50nm之間。因此在一些實施例中,第二蓋層1404的總厚度可介於約2nm至約100nm之間。在多種實施例中,第二蓋層1404的沉積方法可採用化學氣相沉積、原子層沉積、物理氣相沉積、或其他合適方法。在沉積第二蓋層1404之後,步驟1206的一實施例進行化學機械研磨製程,以平坦化裝置1300的上表面。
值得注意的是,在沉積第二蓋層1404之後進行化學機械研磨製程,可同時研磨及/或平坦化第一蓋層1402與第二蓋層1404,以降低製程複雜度、成本、與閘極高度損失及/或負載。此外,第一蓋層1402保護回蝕刻的閘極結構1304,而第二蓋層1404可為低介電常數的材料或氧化物為主的材料如上述,以減少金屬閘極與源極/汲極區之間的邊緣電容並增進裝置效能。此外,第一蓋層1402可有效避免氧化閘極結構1304的金屬閘極,以避免改變臨界電壓。
方法1200的步驟1208形成接點開口、沉積接點金屬、並進行化學機械研磨製程。如圖14與15所示的例子,步驟1208的一實施例可先沉積另一層間介電層(如氧化物層、低介電常數的介電層、或類似物)於裝置1300上,之後進行源極/汲極接點的圖案化與蝕刻製程。如上所述,源極/汲極接點的圖案化與蝕刻製程,可用於形成接點開口於另一層間介電層及層間介電層1310中,以露出磊晶的源極/汲極結構1308。在步驟1208的其他實施例中,可沉積接點金屬。舉例來說,金屬層1502可沉積於磊晶的源極/汲極結構1308上的接點開口中,使金屬層1502可提供電性連接至磊晶的源極/汲極結構1308。在至少一些例子中,金屬層1502包括鈷層,但亦可在未偏離本發明實施例範疇的情況下採用其他合適金屬。在一些例子中,金屬層1502的沉積方法可為物理氣相沉積、電子束蒸鍍、化學氣相沉積、原子層沉積、或其他合適方法。在形成金屬層1502之後,步驟1208的其他實施例可進行化學機械研磨製程,以平坦化裝置1300的上表面。
方法1200的步驟1210進行接點金屬的回蝕刻製程、介電層的沉積製程、與化學機械研磨製程。如圖15與16所示的例子,步驟1210的一實施例可回蝕刻金屬層1502,以提供回蝕刻的金屬層1502A。在一些實施例中,接點金屬的回蝕刻製程可包含濕蝕刻、乾蝕刻、或上述之組合。在步驟1210的其他實施例中,接著沉積介電層1602於回蝕刻的金屬層1502A上,且沉積方法可為化學氣相沉積、原子層沉積、或其他合適製程。在一些實施例中,介電層1602包括氧化矽、氧化鑭、氧化鋁、氮氧化鋁、氧化鋯、氧化鉿、氮化矽、矽、氧化鋅、氮化鋯、氧化鋯鋁、氧化鈦、氧化鉭、氧化釔、碳氮化鉭、鋯矽化物、鉿矽化物、碳氮氧化矽、碳氧化矽、或碳氮化矽。在多種例子中,介電層1602的厚度介於約0nm至約50nm之間。0nm的厚度指的是未採用介電層1602的實施例,如下詳述。在形成介電層1602之後,步驟1210的其他實施例可進行化學機械研磨製程,以平坦化裝置1300的上表面。
在步驟1210之後,方法1200的步驟1212可沉積接點蝕刻停止層與層間介電層。如圖16與17所述的例子,步驟1212的一實施例可沉積接點蝕刻停止層1702於裝置1300上。在多種例子中,接點蝕刻停止層1702可包含碳氧化矽、碳化矽、氮化矽、氧化物、低介電常數的介電層、或其他合適的層狀物。一些例子在形成接點蝕刻停止層1702之後,可進行化學機械研磨製程以平坦化裝置1300的上表面。在一些實施例中,之後可沉積層間介電層1704於接點蝕刻停止層1702上。舉例來說,層間介電層1704可包含氧化物層、低介電常數的介電層、或其他合適介電層。在一些例子中,形成層間介電層1704之後可進行化學機械研磨製程,以平坦化裝置1300的上表面。
方法1200的步驟1214進行源極/汲極的光微影與蝕刻製程。如圖17與18所示的例子,步驟1214的一實施例可進行源極/汲極的光微影與蝕刻製程,以形成開口1802露出回蝕刻的金屬層1502A。在一些實施例中,源極/汲極的光微影與蝕刻製程包括沉積光阻層於層間介電層1704上、曝光光阻至一圖案、進行曝光後烘烤製程、以及顯影光阻以形成圖案化的光阻層(如遮罩單元)於層間介電層1704上。在一些實施例中,接著可採用蝕刻製程形成開口1802,並採用圖案化的光阻層以保護基板的一些區域。在多種實施例中,開口1802的蝕刻方法可採用乾蝕刻、濕蝕刻、或上述之組合。如圖所示,形成開口1802的方法包括蝕刻層間介電層1704、接點蝕刻停止層1702、與介電層1602的部份。此外,至少一些例子採用第一蓋層1402,以在形成開口1802時有效保護側壁間隔物1306。此外,一些實施例的蝕刻製程用於選擇性地至少形成開口1802於介電層1602與第一蓋層1402之間。
方法1200的步驟1216沉積金屬層並進行化學機械研磨製程。如圖18與19所示的例子,步驟1216的一實施例沉積金屬層1902於裝置1300上與開口1802中,以接觸回蝕刻的金屬層1502A。因此多種例子中的金屬層1902,可提供電性接點至磊晶的源極/汲極結構1308 (比如經由回蝕刻的金屬層1502A)。在一些實施例中,金屬層1902包括鎢、氮化鈦、氮化鉭、氮化鎢、錸、銥、釕、鉬、鋁、銅、鈷、鎳、上述之組合、及/或其他合適組成。在一些實施例中,金屬層1902的形成方法可採用物理氣相沉積、化學氣相沉積、電子束蒸鍍、及/或其他合適製程。如圖19與20所示的例子,步驟1216的其他實施例可進行化學機械研磨製程。在一些實施例中,化學機械研磨製程可用於移除金屬層1902的多餘部份以形成金屬插塞2002,並移除層間介電層1704的保留部份,且通常平坦化裝置1300的上表面,如圖20所示。
可對裝置1300進行後續製程,以形成本技術領域已知的多種結構與區域。舉例來說,後續製程可形成多種通孔及/或線路以及多層內連線結構(比如金屬層與層間介電層)於基板上,其設置為連接多種結構以形成含有一或多個鰭狀場效電晶體裝置的功能電路。在其他例子中,多層內連線可包含垂直內連線如通孔或接點,以及水平內連線如金屬線路。多種內連線結構可採用多種導電材料,包括銅、鎢、及/或矽化物。在一例中,採用鑲嵌製程及/或雙鑲嵌製程以形成銅相關的多層內連線結構。
圖21係一或多個實施例中,製作含有T形蓋的半導體裝置(如鰭狀場效電晶體裝置)的方法2100。方法2100在許多方面可與方法1200實質上類似,因此方法1200的上述內容亦可用於方法2100。然而方法2100的一些實施例可省略接點金屬的回蝕刻製程(比如方法1200的步驟1210),如下詳述。此外,圖22至28係依據圖21的方法2100之一或多個步驟製作的例示性裝置2200,沿著實質上平行於圖1的剖面AA’的剖面之剖視圖。
方法2100一開始的步驟2102提供含有閘極結構的裝置。步驟2102可與步驟1202實質上類似,而步驟1202搭配圖12的方法1200說明如上。如圖22的例子所示,裝置2200包括閘極結構1304、側壁間隔物1306、磊晶的源極/汲極結構1308、層間介電層1310,如上所述。
方法2100的步驟2104進行回蝕刻製程。步驟2104可與步驟1204實質上類似,而步驟1204搭配圖12的方法1200說明如上。如圖22所示的例子,可進行金屬閘極的回蝕刻製程與間隔物的回蝕刻製程,如上所述。步驟2104的回蝕刻製程可造成大致T形開口1312於閘極結構1304上,如圖22所示。
方法2100的步驟2106沉積第一蓋層與第二蓋層,並進行化學機械研磨製程。步驟2106可與步驟1206實質上類似,而步驟1206搭配圖12的方法1200說明如上。如圖23所示,順應性地沉積第一蓋層1402於T形開口1312中,並沉積第二蓋層1404於第一蓋層1402上,如上所述。
方法2100的步驟2108形成接點開口,沉積接點金屬,並進行化學機械研磨製程。步驟2108可與步驟1208實質上類似,而步驟1208搭配圖12的方法1200說明如上。如圖24所示的例子,在適當地沉積、圖案化、與蝕刻層狀物之後,可沉積金屬層1502以提供電性連接至磊晶的源極/汲極結構1308,如上所述。
與方法1200相反,方法2100不含接點金屬的回蝕刻步驟(如方法1200的步驟1210),而是在步驟2108之後進行步驟2110以沉積接點蝕刻停止層與層間介電層。步驟2110可與步驟1212實質上類似,而步驟1212搭配圖12的方法1200說明如上。如圖25所示的例子,接點蝕刻停止層1702沉積於裝置2200上,而層間介電層1704沉積於接點蝕刻停止層1702上,如上所述。
方法2100的步驟2112進行源極/汲極的光微影與蝕刻製程。步驟2112可與步驟1214實質上類似,而步驟1214搭配圖12的方法1200說明如上。如圖26所述的例子,進行源極/汲極的光微影與蝕刻製程,以形成開口2602露出金屬層1502,如上所述。
方法2100的步驟2114沉積金屬層,並進行化學機械研磨製程。步驟2114可與步驟1216實質上類似,而步驟1216搭配圖12的方法1200說明如上。如圖27與28所示,形成金屬層1902以接觸金屬層1502,並採用化學機械研磨製程移除金屬層1902的多餘部份且形成金屬插塞2002,如上所述。可對裝置2200進行額外製程,以形成本技術領域已知的多種結構與區域,如上所述。
如此一來,此處所述的多種實施例可比現有技術具有更多優點。可以理解的是,此處不必說明所有優點,所有實施例不需具有特定優點,且其他實施例可提供不同優點。舉例來說,此處所述的實施例包括降低電晶體總電容、保護層狀物免於損失、避免金屬閘極結構氧化、減緩閘極高度損失及/或負載、或類似效果所用的方法與結構,進而改善裝置效能。在一些實施例中,提供雙層蓋的自對準接點,其可用於提供源極/汲極金屬的高蝕刻選擇性與低總電容。在一些實施例中,雙層蓋包括低介電常數材料的T型蓋。此外,一些實施例在回蝕刻製程(如回蝕刻氮化矽的製程)時可採用襯墊層(如金屬氧化物襯墊層)保護金屬層(如無氟鎢層)、層間介電層、與間隔物層,以避免功函數金屬誘發的臨界電壓偏移。此處所述的多種實施例一般可用於減少製程成本與複雜性。對本技術領域中具有通常知識者而言,本發明實施例的額外實施例與優點屬顯而易見。
因此本發明一實施例說明的方法,包括提供裝置,其包括具有金屬閘極層的閘極堆疊。裝置更包括位於閘極堆疊之側壁上的間隔物層,以及與閘極堆疊相鄰的源極/汲極結構。方法更包括對金屬閘極層進行第一回蝕刻製程,以形成回蝕刻的金屬閘極層。在一些實施例中,方法包括沉積金屬層於回蝕刻的金屬閘極層上。在一些例子中,形成半導體層於金屬層與間隔物層上,以提供閘極堆疊與間隔物層上的T形蓋層。
在一些實施例中,沉積金屬層於回蝕刻的金屬閘極層上的步驟,更包括沉積無氟鎢層於回蝕刻的金屬閘極層上。
在一些實施例中,形成半導體層於金屬層與間隔物層上的步驟,更包括形成氮化矽層或非晶矽層於金屬層與間隔物層上。
在一些實施例中,方法更包括:在形成半導體層於金屬層與間隔物層上之前,順應性地沉積襯墊層於金屬層與間隔物層的一部份上。
在一些實施例中,沉積襯墊層的步驟更包括沉積高介電常數的襯墊層。
在一些實施例中,襯墊層在後續蝕刻製程中,避免蝕刻金屬層與間隔物層。
在一些實施例中,方法更包括:對半導體層進行第二回蝕刻製程,以形成回蝕刻的半導體層;以及形成介電層於回蝕刻的半導體層上,以提供雙層T形蓋層於閘極堆疊與間隔物層上。
在一些實施例中,方法更包括:沉積接點金屬層於源極/汲極結構上;回蝕刻接點金屬層,以提供回蝕刻的接點金屬層;以及沉積接點蝕刻停止層於回蝕刻的接點金屬層上。
在一些實施例中,方法更包括:在形成半導體層於金屬層與間隔物層上之後,將半導體層置換成低介電常數的介電層。
在一些實施例中,方法更包括:在沉積接點蝕刻停止層之前,形成蓋層於回蝕刻的接點金屬層上,其中蓋層避免回蝕刻的接點金屬層氧化。
在另一實施例中,方法包括:提供裝置,其包括具有回蝕刻的金屬閘極層的閘極堆疊,以及位於閘極堆疊的兩側側壁上的回蝕刻的間隔物層。在一些實施例中,回蝕刻的金屬閘極層與回蝕刻的間隔物層一起定義T形開口。在多種例子中,沉積無氟鎢層於回蝕刻的金屬閘極層上。在一些例子中,形成第一蓋層於無氟鎢層上及T形開口中。
在一些實施例中,形成第一蓋層的步驟更包括形成氮化矽層或非晶矽層於無氟鎢層上及T形開口中。
在一些實施例中,方法更包括:在形成第一蓋層之前,沿著T形開口的側壁沉積高介電常數的襯墊層,並沉積高介電常數的襯墊層於無氟鎢層上;以及形成第一蓋層於高介電常數的襯墊層上。
在一些實施例中,方法更包括:回蝕刻第一蓋層以形成回蝕刻的第一蓋層;以及沉積第二蓋層於回蝕刻的第一蓋層上,其中第二蓋層包括高介電常數的介電層。
在一些實施例中,方法更包括:沉積接點金屬層於與閘極堆疊相鄰的源極/汲極結構上;以及進行化學機械研磨製程以移除接點金屬層的一部份,其中化學機械研磨製程亦移除第二蓋層。
在一些實施例中,方法更包括:在進行化學機械研磨製程之後,形成蓋層於接點金屬層上,其中蓋層避免接點金屬層氧化;以及沉積接點蝕刻停止層於蓋層上。
在一些實施例中,方法更包括:在進行化學機械研磨製程之後,將第一蓋層置換成低介電常數的介電層。
在又一實施例中,半導體裝置包括:閘極堆疊,包括金屬閘極層。半導體裝置更包括側壁間隔物,位於閘極堆疊的兩側側壁上;第一T形蓋層,順應性地形成於閘極堆疊與側壁間隔物上;以及第二T形蓋層,形成於第一T形蓋層上。在一些實施例中,第一T形蓋層設置以避免氧化閘極堆疊的金屬閘極層。在一些例子中,第二T形蓋層包括低介電常數的介電層。
上述實施例之特徵有利於本技術領域中具有通常知識者理解本發明。本技術領域中具有通常知識者應理解可採用本發明作基礎,設計並變化其他製程與結構以完成上述實施例之相同目的及/或相同優點。本技術領域中具有通常知識者亦應理解,這些等效置換並未脫離本發明精神與範疇,並可在未脫離本發明之精神與範疇的前提下進行改變、替換、或更動。
AA’‧‧‧剖面 T1‧‧‧第一厚度 T2‧‧‧第二厚度 100‧‧‧鰭狀場效電晶體裝置 102‧‧‧基板 104‧‧‧鰭狀單元 105‧‧‧源極區 106‧‧‧隔離區 107‧‧‧汲極區 108、1304‧‧‧閘極結構 110、304‧‧‧閘極介電層 112、306、402、702、1502、1902‧‧‧金屬層 200、1200、2100‧‧‧方法 202、204、206-1、206-2、208、210、212、214-1、214-2、216-1、216-2、1202、1204、1206、1208、1210、1212、1214、1216、2102、2104、2106、2108、2110、2112、2114‧‧‧步驟 300、300A、300B、1100A、1100B、1300、2200‧‧‧裝置 302、1302‧‧‧鰭狀物 308、310、312、1306‧‧‧側壁間隔物 314、318、406‧‧‧襯墊層 316、1308‧‧‧磊晶的源極/汲極結構 318、602、1006、1010、1310、1704‧‧‧層間介電層 320、1312‧‧‧T形開口 404‧‧‧氮化物層 404A、404B‧‧‧回蝕刻的氮化物層 502A、502B、1602‧‧‧介電層 603、1802、2602‧‧‧開口 604‧‧‧接點開口 702A、1502A‧‧‧回蝕刻的金屬層 902‧‧‧凹陷 904‧‧‧氧化物層 1002‧‧‧金屬蓋層 1004、1008、1702‧‧‧接點蝕刻停止層 1402‧‧‧第一蓋層 1404‧‧‧第二蓋層 2002‧‧‧金屬插塞
圖1係本發明一或多個實施例中,鰭狀場效電晶體裝置的透視圖。 圖2係一些實施例中,包含T形蓋的半導體裝置之製作方法的流程圖。 圖3、4A、4B、5A、5B、6A、6B、7A、7B、8A、8B、9A、9B、10A、10B、11A、與11B係依據圖2之方法的一或多個步驟所製作的例示性裝置,沿著實質上平行於圖1的剖面AA’的平面之剖視圖。 圖12係一些實施例中,包含T形蓋的半導體裝置的另一製作方法的流程圖。 圖13、14、15、16、17、18、19、與20係依據圖12之方法的一或多個步驟所製作的例示性裝置,沿著實質上平行於圖1的剖面AA’的平面之剖視圖。 圖21係一些實施例中,包含T形蓋的半導體裝置的另一製作方法的流程圖。 圖22、23、24、25、26、27、與28係依據圖21之方法的一或多個步驟所製作的例示性裝置,沿著實質上平行於圖1的剖面AA’的平面之剖視圖。
402、702‧‧‧金屬層
406‧‧‧襯墊層
904‧‧‧氧化物層
1008‧‧‧接點蝕刻停止層
1010‧‧‧層間介電層
1100B‧‧‧裝置

Claims (1)

  1. 一種半導體裝置的形成方法,包括: 提供一裝置,其包括具有一金屬閘極層的一閘極堆疊,位於該閘極堆疊之側壁上的一間隔物層、以及與該閘極堆疊相鄰的一源極/汲極結構; 對該金屬閘極層進行一第一回蝕刻製程,以形成一回蝕刻的金屬閘極層; 沉積一金屬層於該回蝕刻的金屬閘極層上;以及 形成一半導體層於該金屬層與該間隔物層上,以提供該閘極堆疊與該間隔物層上的一T形蓋層。
TW108126108A 2018-07-27 2019-07-24 半導體裝置的形成方法 TW202008445A (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201862711298P 2018-07-27 2018-07-27
US62/711,298 2018-07-27
US16/422,559 US11171053B2 (en) 2018-07-27 2019-05-24 Transistor device and related methods
US16/422,559 2019-05-24

Publications (1)

Publication Number Publication Date
TW202008445A true TW202008445A (zh) 2020-02-16

Family

ID=69178639

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108126108A TW202008445A (zh) 2018-07-27 2019-07-24 半導體裝置的形成方法

Country Status (3)

Country Link
US (1) US11171053B2 (zh)
CN (1) CN110783201A (zh)
TW (1) TW202008445A (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI800818B (zh) * 2021-02-22 2023-05-01 台灣積體電路製造股份有限公司 積體電路元件及其製造方法

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10930510B2 (en) * 2019-05-21 2021-02-23 International Business Machines Corporation Semiconductor device with improved contact resistance and via connectivity
DE102020126070A1 (de) * 2020-03-31 2021-09-30 Taiwan Semiconductor Manufacturing Co., Ltd. Kontaktbildungsverfahren und entsprechende struktur
KR20220033624A (ko) 2020-09-09 2022-03-17 삼성전자주식회사 반도체 장치 및 그 제조 방법
US20230027789A1 (en) * 2021-07-22 2023-01-26 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor Devices and Methods of Forming the Same

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8816444B2 (en) 2011-04-29 2014-08-26 Taiwan Semiconductor Manufacturing Company, Ltd. System and methods for converting planar design to FinFET design
US9236267B2 (en) 2012-02-09 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Cut-mask patterning process for fin-like field effect transistor (FinFET) device
US8785285B2 (en) 2012-03-08 2014-07-22 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
US8860148B2 (en) 2012-04-11 2014-10-14 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for FinFET integrated with capacitor
US8823065B2 (en) 2012-11-08 2014-09-02 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US9105490B2 (en) 2012-09-27 2015-08-11 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US8772109B2 (en) 2012-10-24 2014-07-08 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for forming semiconductor contacts
US9236300B2 (en) 2012-11-30 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Contact plugs in SRAM cells and the method of forming the same
US9029920B2 (en) * 2013-06-04 2015-05-12 Globalfoundries Inc. Semiconductor devices and methods of fabrication with reduced gate and contact resistances
US9136106B2 (en) 2013-12-19 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
US9147576B2 (en) * 2014-01-23 2015-09-29 International Business Machines Corporation Gate contact with vertical isolation from source-drain
US9520482B1 (en) 2015-11-13 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of cutting metal gate
US10083863B1 (en) * 2017-05-30 2018-09-25 Taiwan Semiconductor Manufacturing Co., Ltd. Contact structure for semiconductor device
US10522392B2 (en) * 2017-05-31 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of fabricating the same
US10861745B2 (en) * 2017-11-30 2020-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI800818B (zh) * 2021-02-22 2023-05-01 台灣積體電路製造股份有限公司 積體電路元件及其製造方法

Also Published As

Publication number Publication date
US20200035558A1 (en) 2020-01-30
US11171053B2 (en) 2021-11-09
CN110783201A (zh) 2020-02-11

Similar Documents

Publication Publication Date Title
CN107591334B (zh) 用于放置在具有高k介电栅极的半导体主动区内的栅极接触的方法及设备
CN107591333B (zh) 用于在半导体的主动区内放置栅极接触的方法及设备
TW202008445A (zh) 半導體裝置的形成方法
TWI755641B (zh) 半導體裝置與其製作方法
US20150129990A1 (en) Semiconductor device having elevated structure
US8174064B2 (en) Semiconductor device and method for forming the same
US11764286B2 (en) Reducing parasitic capacitance for gate-all-around device by forming extra inner spacers
TWI795378B (zh) 積體電路與其形成方法
US8927355B2 (en) Method of manufacturing semiconductor devices
KR101547386B1 (ko) 디커플링 finfet 캐패시터
TW202017104A (zh) 半導體裝置的形成方法
TW202013522A (zh) 多閘極半導體裝置的製作方法
CN110838520A (zh) 半导体装置的制作方法
TW202040824A (zh) 半導體裝置
TWI701724B (zh) 半導體裝置與其製作方法
TW202105735A (zh) 半導體裝置
TW202139264A (zh) 半導體裝置的製造方法
TWI682439B (zh) 半導體裝置與其製作方法
CN110571193B (zh) 单扩散隔断结构的制造方法和半导体器件的制造方法
TWI822111B (zh) 半導體裝置與其形成方法
TW202205596A (zh) 半導體裝置
TW202218155A (zh) 半導體裝置
TW202209414A (zh) 半導體裝置
CN110571187B (zh) 半导体器件的制造方法
US11257926B2 (en) Self-aligned contact structures