TW202030798A - 電漿處理方法及電漿處理裝置 - Google Patents

電漿處理方法及電漿處理裝置 Download PDF

Info

Publication number
TW202030798A
TW202030798A TW109103135A TW109103135A TW202030798A TW 202030798 A TW202030798 A TW 202030798A TW 109103135 A TW109103135 A TW 109103135A TW 109103135 A TW109103135 A TW 109103135A TW 202030798 A TW202030798 A TW 202030798A
Authority
TW
Taiwan
Prior art keywords
protective film
pattern
film
etched
sample
Prior art date
Application number
TW109103135A
Other languages
English (en)
Other versions
TWI732440B (zh
Inventor
松井都
桑原謙一
臼井建人
小林浩之
Original Assignee
日商日立全球先端科技股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 日商日立全球先端科技股份有限公司 filed Critical 日商日立全球先端科技股份有限公司
Publication of TW202030798A publication Critical patent/TW202030798A/zh
Application granted granted Critical
Publication of TWI732440B publication Critical patent/TWI732440B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32926Software, data control or modelling
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/26Acting in response to an ongoing measurement without interruption of processing, e.g. endpoint detection, in-situ thickness measurement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3341Reactive etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Chemical & Material Sciences (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

本發明是在電漿蝕刻被成膜於試料的被蝕刻膜的電漿處理方法中,具有: 保護膜形成工程,其係在被形成於試料的圖案的上部選擇性地形成保護膜,調整被形成的保護膜的寬度,而使此被形成的保護膜的寬度的試料的面內的分佈能夠成為所望的分佈;及 在保護膜形成工程後,電漿蝕刻被蝕刻膜的工程。

Description

電漿處理方法及電漿處理裝置
本發明是有關電漿處理方法及電漿處理裝置,特別是有關包含在晶圓上的圖案的上面形成所望的蝕刻保護膜的工程之電漿處理方法及電漿處理裝置。
由於半導體元件等的機能元件製品的微細化,加速了使用以薄膜的間隔件(spacer)的側壁作為遮罩用的多圖案化之三維裝置加工技術的開發。隨之,在三維裝置等的半導體元件的加工工程中,薄膜間隔件或以金屬等的各種材料作為遮罩的溝或孔的加工的技術變得重要。
遮罩或閘極絕緣膜、蝕刻阻擋層(Etch stopper)等的厚度變薄,被要求以原子層水準來控制形狀的加工技術。進一步,隨著裝置的三維化,同時加工從晶圓表面形成至不同的深度的層的圖案,或加工開口尺寸依深度而改變的圖案等,加工複雜的形狀的工程會增加。
圖2是表示無遮罩圖案,加工下層的材料時的以往技術之一例。例如,若以圖2所示般的無遮罩的圖案來蝕刻溝圖案213之間的下層的被蝕刻層211,則會有溝圖案213的上面212被蝕刻,溝圖案213的深度變淺(溝圖案213變低)的課題。
或,即使是在溝圖案213的上面212的上層有遮罩的情況,也會有當遮罩圖案的厚度非常薄時,在蝕刻溝圖案213之間的下層的被蝕刻層211的期間,遮罩圖案被蝕刻,蝕刻進展至溝圖案213的上面212的課題。
另一方面,作為在圖案上形成堆積膜而蝕刻的以往技術,在專利文獻1是揭示以在蝕刻中遮罩上的沈積(deposition)膜的厚度會成為容許值內的方式控制蝕刻參數的方法,作為使用碳氟化合物氣體或氫氟碳化合物氣體等的堆積性高的混合氣體來蝕刻的技術。此方法為了在遮罩上形成沈積膜的同時實施下層的蝕刻,而需要在遮罩上為了抑制蝕刻而形成厚的沈積膜的同時,在下層表面使蝕刻進展。
其次,在非專利文獻1中,有重複:在被蝕刻材料上形成與被蝕刻材料具有反應性的堆積膜的工程、及藉由離子照射等來使反應生成物脫離的工程,藉此以原子層水準的深度精度來實現蝕刻的手法為人所知。
又,在專利文獻2中,揭示有:為了調整遮罩圖案的溝寬的偏差,而在遮罩圖案上形成堆積膜之後實施蝕刻的技術。在本手法中,利用堆積膜的沈積速率依存於晶圓溫度的情形,調整成為:形成對應於溝寬的偏差的厚度的堆積膜,在晶圓面內,溝寬形成均一。 先前技術文獻 專利文獻
專利文獻1:日本特開2014―232825號公報 專利文獻2:日本特開2017―212331號公報 非專利文獻
非專利文獻1:Journal of Vacuum Science & Technology A32, 020603 (2014)
(發明所欲解決的課題)
如上述般,在三維裝置的圖案的微細化與複雜化,且持有微細的高寬高比的構造的蝕刻變得重要。例如,圖2所示般的無遮罩的溝圖案213,不使此溝圖案遭到損傷,進行下層的被蝕刻層211的蝕刻,就以往的方法而言是困難。
並且,在圖案上層有遮罩,但遮罩薄,圖案上面的蝕刻不能防止時,或遮罩的材料與下層的被蝕刻層211的材料為類似材料時,會有在蝕刻下層的被蝕刻層211的期間穿透薄的遮罩而蝕刻圖案的上面212,未能取得所望的圖案形狀的問題。
在此,作為遮罩的材料與下層的被蝕刻層211為類似的材料時的例子,例如有在SiO系的組成的材料中含有有機物等的C系材料或H、N、B、P等的材料。或,在含C等的有機系的材料中含有其他的有機物等的C系材料或H、N、B、P等的材料。
首先,在專利文獻1中,在遮罩上形成沈積膜的同時,蝕刻下層,以膜厚測定器來測定沈積膜的厚度而控制蝕刻條件,而使遮罩上的沈積膜能夠成為所望的厚度。為此,需要在遮罩上形成沈積膜而確保沈積膜厚的同時,控制電漿而使下層的蝕刻能夠進展,而取得所望的形狀。
但,例如,在加工高寬高比(aspect ratio)的圖案構造時,有時難以形成用以保護遮罩的沈積膜的同時,取得電漿的自由基照射與離子照射的平衡,而使下層的蝕刻能夠進展。
一旦圖案的寬高比變高,則需要提高從電漿照射的離子的能量來蝕刻溝圖案之間的下層,但若提高離子能量,則遮罩的蝕刻進展,因此為了抑制遮罩的蝕刻,需要增厚遮罩上的沈積膜。一旦增厚遮罩上的沈積膜,則在圖案的側壁或底的沈積膜也增加,因此有不能取得所望的圖案形狀的課題。
並且,在無遮罩圖案的情況,當圖案上面與下層的材料為類似或相同時,難以抑制圖案上面的蝕刻,僅蝕刻下層。
其次,就記載於非專利文獻1般重複:使吸附與被蝕刻材料持有反應性的反應層的工程,及藉由離子照射等來使反應生成物脫離的工程之手法而言,雖可將在吸附工程的電漿條件與在脫離工程的離子能量等的條件分離而控制,但為了同時進行往遮罩上的吸附與往下層的被蝕刻材料上的吸附及往下層的離子照射與往遮罩的離子照射,一旦寬高比變高,則難以確保用以蝕刻下層的離子能量與離子溶劑的同時防止遮罩的蝕刻。
並且,在無遮罩圖案的情況,當圖案上面與下層的材料為類似或相同時,難以抑制圖案上面的蝕刻,僅蝕刻下層。
在專利文獻2中,作為抑制圖案的最小線寬度的偏差的方法,揭示有在蝕刻前在遮罩圖案上形成沈積膜的手法。利用沈積膜的沈積速率依存於晶圓溫度的情形,使晶圓溫度變化於各領域,而使能夠修正預先測定的圖案尺寸的偏差,藉此形成修正溝寬的偏差的厚度的沈積膜,在晶圓面內調整溝寬。但,由於此手法是藉由在遮罩圖案上共形(Conformal)地形成薄的沈積膜來調整溝寬,因此為了只在微細的圖案的上面抑制圖案上面的蝕刻,無法形成充分的厚度的沈積膜。
本發明的目的是為了解決上述的以往技術的課題,在持有無遮罩及高寬高比等複雜的構造的圖案中,無圖案上面被蝕刻的情形,實施下層的被蝕刻圖案的蝕刻,在晶圓面內取得均一的圖案形狀,提供一種在蝕刻前在圖案上面可再現性佳形成厚度及圖案寬度在晶圓面內為均一的保護膜之電漿處理方法及電漿處理裝置。 (用以解決課題的手段)
為了解決上述的以往技術的課題,本發明是在電漿蝕刻被成膜於試料的被蝕刻膜的電漿處理方法中,具有: 保護膜形成工程,其係在被形成於試料的圖案的上部選擇性地形成保護膜,調整被形成的保護膜的寬度,而使此被形成的保護膜的寬度的試料的面內的分佈能夠成為所望的分佈;及 在保護膜形成工程後,電漿蝕刻被蝕刻膜的工程。
又,為了解決上述的以往技術的課題,本發明是在具備:利用遮罩來電漿蝕刻被成膜於試料的被蝕刻膜的處理室,及供給用以產生電漿的高頻電力的高頻電源,以及載置試料的試料台之電漿處理裝置中,更具備控制部,其係實行: 保護膜形成工程,其係在被形成於試料的圖案的上部選擇性地形成保護膜,調整被形成的保護膜的寬度,而使在此被形成的保護膜的寬度的試料的面內的分佈能夠成為所望的分佈;及 保護膜形成工程後,電漿蝕刻被蝕刻膜的工程。
又,為了解決上述的以往技術的課題,本發明是在具備:利用遮罩來電漿蝕刻被成膜於試料的被蝕刻膜的處理室,及供給用以產生電漿的高頻電力的高頻電源,以及載置試料的試料台之電漿處理裝置中,更具備控制部,其係實行: 保護膜形成工程,其係在被形成於試料的圖案的上部選擇性地形成保護膜,調整被形成的保護膜的膜厚,而使在被形成的保護膜的膜厚的試料的面內的分佈能夠成為所望的分佈;及 保護膜形成工程後,電漿蝕刻被蝕刻膜的工程。 [發明的效果]
若根據本發明,則在蝕刻處理前在溝圖案上面可再現性佳形成厚度及圖案寬度在晶圓面內為均一的保護膜,可高精度再現性佳地蝕刻加工微細圖案。
本發明者們思考圖2所示般的無遮罩圖案的情況或遮罩薄的情況,只要在圖案上面212形成保護膜,即使在無遮罩圖案中,亦可無蝕刻圖案上面212的情形,加工下層的被蝕刻材料。在加工高寬高比的圖案時,思考藉由在遮罩上面形成保護膜,可無蝕刻圖案上面的情形,取得所望的形狀。
但,作為在蝕刻前在圖案上面形成沈積膜的手法,顯然有下述的課題。
首先,只在圖案上面形成沈積膜時,在晶圓面內均一地形成保護膜為課題。例如,若在蝕刻腔室內產生電漿來形成保護膜,則會有在晶圓面內在保護膜的膜厚產生分佈的情況。在保護膜的膜厚產生分佈時,雖可在蝕刻工程抑制圖案上面的蝕刻,但蝕刻後的圖案形狀會依存於保護膜的厚度或形狀而變化,在晶圓面內持有分佈的情形會成為問題。
並且,在圖案上面形成保護膜時的保護膜在圖案的橫方向也被形成時,會有圖案寬度的尺寸在晶圓面內持有偏差的情況。若在保護膜的圖案寬度的尺寸產生分佈,則蝕刻後的圖案形狀在晶圓面內持有分佈的情形會成為問題。
又,若產生堆積性的電漿,則蝕刻腔室的內壁的表面狀態會歷時變化,蝕刻腔室內環境會歷時變化,有形成保護膜的製程及蝕刻製程的再現性降低的課題。
本發明是解決上述般的課題者,有關在蝕刻前可無使堆積於持有高寬高比的圖案及無遮罩圖案等的複雜的構造的圖案的溝底的情形,在圖案上面形成均一的保護膜之保護膜形成方法者,提供一種具有:在圖案的上面形成保護膜的保護膜堆積工程,及除去在保護膜堆積工程產生的過剩的堆積物而形成面內均一的過剩堆積物除去工程之保護膜形成方法。
又,保護膜堆積工程是利用堆積性氣體的高解離電漿來進行,過剩堆積物除去工程是利用反應性離子協助來進行。
又,在過剩堆積物除去工程中,在晶圓面內的至少2處以上照射光而取得各個的反射光譜,匹配取得的反射光譜來判定晶圓面內的保護膜的均一性。
又,過剩沈積除去時,持用以修正保護膜的不均一性的資料庫,決定用以修正保護膜的不均一性的沈積除去條件。
進一步,在本發明中,將電漿處理裝置構成具備: 處理容器,其係於減壓環境內電漿處理晶圓; 第1氣體供給裝置,其係對處理室內供給保護膜形成用的氣體; 第2氣體供給裝置,其係對處理室內供給可除去保護膜的氣體; 第3氣體供給裝置,其係對處理室內供給蝕刻用的氣體; 電漿產生裝置,其係將被供給至處理室內的氣體電漿化; 切換裝置,其係切換第1~第3氣體供給裝置; 發光光譜裝置,其係在被切換成第2氣體供給裝置時,在晶圓面內的至少2處以上照射光,取得各者的反射光譜;及 判定裝置,其係匹配取得的反射光譜來判定晶圓面內的保護膜的均一性。
又,亦構成具備偏壓施加裝置,在過剩堆積物的除去時,為了修正保護膜的面內不均一性,而持資料庫,使產生用以修正保護膜的不均一性的反應性離子協助。
又,當被蝕刻材料的膜厚厚時,循環地進行保護膜堆積工程、過剩堆積物除去工程、及以使堆積的保護膜作為遮罩,加工被蝕刻材料的工程。即使實施循環的處理,也無法形成所望的膜厚的堆積膜時,實施洗滌腔室內的工程。
又,循環實施保護膜形成工程與過剩堆積物除去工程時,堆積的保護膜厚為一定值以上的情形藉由匹配反射光譜來判定,在一定值以下時,實施洗滌處理室內的工程。
以下,利用圖面來詳細說明本發明的實施形態。另外,在全部的圖中,具有相同機能者是附上相同符號,省略其重複的說明。 實施例
本實施例的蝕刻裝置是使保護膜堆積於在晶圓上所形成的微細的圖案(溝圖案)的表面,除去此被堆積的保護膜之中過剩堆積物而在晶圓面內形成均一的保護膜,將溝圖案之間的下層的被蝕刻材料蝕刻而除去者。
圖1是表示本實施例的電漿處理裝置之一例的一全體構成。電漿處理裝置的蝕刻裝置30是具備:處理室31、晶圓平台32、氣體供給部33、光學系38、光學系控制部39、偏壓電源40、高頻施加部41、裝置控制部42等。
裝置控制部42是具備:氣體控制部43、排氣系控制部44、高頻控制部45、偏壓控制部46、膜厚控制部47、記憶部50、時鐘51等的機能區塊。構成該等的裝置控制部42的各機能區塊是可用一台的個人電腦(PC)實現。
膜厚控制部47是包含膜厚算出部48、資料庫49,藉由將從光學系控制部39發送的訊號與資料庫49參照,可在膜厚算出部48算出膜厚。另外,在本實施例中,有時將膜厚控制部47、裝置控制部42總簡稱成控制部42。
蝕刻裝置30是設有:被設在處理室31內的晶圓平台32、及具備氣瓶或閥的氣體供給部33,根據來自裝置控制部42的控制訊號54,保護膜形成用氣體34、用以除去作為保護膜被形成的膜之中過剩形成的膜的過剩堆積物除去用氣體35、蝕刻用氣體36各者會按照處理步驟來供給至處理室31。
被供給至處理室31的處理氣體是藉由從以裝置控制部42控制的高頻電源37施加於高頻施加部41的高頻電力52,在處理室31內被分解成電漿。又,處理室31內的壓力是藉由被連接至處理室31的省略圖示的可變傳導閥與真空泵,在流動所望的流量的處理氣體的狀態下,可保持於一定。
光學系38是用以取得被堆積於晶圓18上而形成的保護膜20的膜厚資訊者,藉由在光學系38取得從光學系38發射而反射於晶圓18的光光譜,可取得保護膜20的剖面形狀(膜厚)的晶圓面內分佈。
為了取得此保護膜20的剖面形狀(膜厚)的晶圓面內分佈資訊,首先,將作為參照資料形成有保護膜20的參照溝圖案被圖案化成所望形狀的晶圓18導入至處理室31。保護膜20的形狀的資訊是預先作為晶圓資訊記憶於資料庫49或裝置控制部42的記憶部50等。
其次,在光學系38中,將從光源56發射的入射光57照射至晶圓18上的參照溝圖案上。例如可使用190 nm~900nm的波長領域的光,作為光源56。被反射於參照圖案的反射光(干涉光)58是在檢測器59被檢測出,經由光纖60,在分光器61被分光而作為反射光譜送至光學系控制部39。
被送至光學系控制部39的反射光譜資訊是作為參照資料被送至膜厚控制部47而預先作為資料庫49被保存。
首先,說明有關實施保護膜的堆積工程及除去過剩堆積物的工程,在晶圓面內形成均一的保護膜之手法,作為本實施例的蝕刻方法的實施例。
圖3是本實施例的保護膜形成方法的製程流程之一例的圖。又,圖5及圖6是本實施例的保護膜形成方法的保護膜的晶圓面內均一化方法的說明圖。
在本實施例中,說明有關在無遮罩的圖案上形成保護膜而蝕刻的情況,作為被蝕刻圖案10的一例。被蝕刻圖案10是在被形成於作為基板的晶圓18上的底層15上,下層的非蝕刻層14、下層的被蝕刻層11會被形成於溝圖案13之間,在溝圖案13的上面12是未形成有遮罩。為了只蝕刻被蝕刻圖案10的下層的被蝕刻層11,在本發明中根據圖3的流程圖來說明有關在晶圓18的面內將均一的保護膜20形成於溝圖案13的上面12的手法。
在本實施例中,為了判定保護膜的晶圓面內均一性,在晶圓18上的至少2處照射光,取得反射光的光譜,設置判定保護膜的晶圓面內均一性的手段。取得反射光的光譜的位置是例如圖5(a)所示般,成為可在包含晶圓18的中心部X0與晶圓端Xn的至少2處取得的構成。
在此,反射光譜的強度是依光源56的輸出或光學系38的歷時變化而變動。並且,在使來自光源56的光導入至處理室31時,使用使光透過的石英等的窗62的情況,藉由在處理室31內所產生的電漿等,窗62的表面狀態會變化,有可能影響入射光57或反射光(干涉光)58的光譜。
為了校正該等的變動,而在電漿處理之前,取得成為參考的光譜(S301)。首先,將成為參考的晶圓導入至處理室31內,且將從光源56產生的入射光57通過光透過用的窗62來導入至處理室31,照射至參考晶圓。然後,被反射的反射光(干涉光)58是再度通過窗62,在檢測器59被檢測出。
在檢測器59被檢測出的光是經由光纖60,在分光器61被分光。在此分光器61被分光的反射光譜是作為參考光譜被保持於資料庫49。此時,參考光譜是在用以取得晶圓內分佈的每個計測點被取得。例如圖5所示般,在計測晶圓中央部X0及晶圓端Xn計測晶圓內分佈時,是在參考晶圓上的晶圓中央部X0及晶圓端Xn被測定。
其次,對於在蝕刻用的晶圓18上所形成的溝圖案13與被蝕刻圖案10,以光學系38來測定初期的反射光譜的晶圓面內分佈(S302)。取得的蝕刻晶圓的初期的反射光譜是與參考光譜同樣一旦取得被保持於資料庫49的蝕刻用的晶圓18的溝圖案13與被蝕刻圖案10的初期偏差校正用光譜,則開始保護膜的堆積工程(S303)。
首先,根據來自裝置控制部42的控制訊號54,保護膜形成用氣體34會以預定的流量來供給至處理室31。被供給的保護膜形成用氣體34是藉由被施加於高頻施加部41的高頻電力52來成為電漿,被分解成自由基、離子等。
此期間的處理室31內的壓力是藉由可變傳導閥與真空泵,在流動所望的流量的處理氣體的狀態下,可保持於一定。在電漿產生的自由基或離子是到達晶圓18的表面,形成圖6的(a)及(b)所示的保護膜20。
作為被堆積的保護膜的材料、例如可使SiO2、Si、SiN、C、碳氟化合物系聚合物、BCl、BN、BO、BC等堆積。
例如,在使SiO2堆積作為保護膜時,例如,氣體可使用SiF4或SiCl4等的Si系氣體與O2,CO2,N2等的氣體及Ar,He等的混合氣體。在使Si堆積作為保護膜時,例如,可使用SiH4,SiF4或SiCl4等的Si系氣體與H2,NH3,CH3F等的氣體及Ar,He等的混合氣體。在使SiN堆積作為保護膜時,例如,氣體可使用SiF4或SiCl4等的Si系氣體與N2,NF3等的氣體及H2,Ar,He等的混合氣體。
又,在使C系聚合物或CF系聚合物堆積作為護膜時,可使用碳氟化合物氣體、氫氟碳化合物氣體與Ar、He、Ne、Kr、Xe等的稀有氣體及O2、CO2、CF4、N2、H2、無水HF、CH4、CHF3、NF3、SF6等的混合氣體。
又,使BCl、BN、BO,BC等堆積作為保護膜時,可使用BCl3等與Ar、He、Ne、Kr、Xe等的稀有氣體,及Cl2、O2、CO2、CF4、N2、H2、無水HF、CH4、CHF3、NF3、SF3等的混合氣體。
沈積的膜是對應於下層的非蝕刻層14、下層的被蝕刻層11的材料,可沈積不同的材料。
將保護膜堆積工程(S303)後的保護膜20的厚度的晶圓面內分佈401的一例顯示於圖5(b)。在晶圓面內分佈401中,晶圓中央部X0的保護膜20的膜厚會被形成在晶圓端Xn比的保護膜20的膜厚更厚。
圖6(a)是表示在晶圓中央部X0及晶圓端Xn的位置的圖案的剖面圖。例如,在晶圓的中心部X0的位置,被沈積於溝圖案13的上面12的保護膜20的厚度TX01是比在晶圓端Xn的被沈積於溝圖案13的上面12的保護膜20的厚度TXn0更厚。此時,在晶圓的中心部X0的保護膜20的寬度DX01是比溝圖案13的寬度WX01更大。
同樣,在晶圓端Xn的保護膜20的寬度DXnN1是比溝圖案13的寬度WXn1更大。又,在晶圓中心部X0的保護膜20的寬度DX01是比在晶圓端Xn的保護膜20的寬度DXn1更厚。
保護膜形成後,取得反射光譜的晶圓面內分佈(S304)。取得在晶圓位置X0及Xn的反射光譜,其資訊是被送至膜厚控制部47內的膜厚算出部48。被送至膜厚算出部48的反射光譜資訊是藉由在步驟1取得的參考光譜的資訊來規格化光譜的強度。
進一步,從來自預先被保存於資料庫49的參照圖案的反射光譜,保護膜20的厚度T及保護膜20的圖案寬度W會藉由光譜擬合(fitting)來算出。然後,從在2處以上被設定於晶圓內的測定位置的T及W來分別算出晶圓內的分佈。此分佈資料是亦可視為蝕刻速率的晶圓面內分佈資料。
藉此,可簡易地評價上述的保護膜20的晶圓面內分佈。圖7是表示反射光譜之根據保護膜的剖面形狀所產生的變化的一例。圖7(a)是表示來自沈積保護膜20之前的溝圖案13的反射光譜22,及在溝圖案13上例如沈積厚度5nm的SiO2膜時的反射光譜23,作為保護膜20的厚度變化時的反射光譜變化的例子。
若保護膜20的厚度變化,則反射光譜會變化,因此藉由匹配預先取得的反射光譜與光譜,可評價保護膜20的厚度的變化的晶圓面內分佈。或,藉由與利用預先測定的保護膜20的反射率來計算的光譜進行匹配,可評價保護膜20的厚度的晶圓面內分佈。
又,圖7(b)是表示在溝圖案13上形成厚度5nm的SiO2膜時,保護膜20的寬度D與溝圖案13的寬度W相同時的反射光譜24,保護膜20的寬度D比溝圖案13的寬度W更大時的反射光譜25,作為保護膜20的圖案寬度D變化時的例子。
在保護膜厚形成工程後,若堆積於溝圖案13上的保護膜20的寬度D的尺寸變化,則反射光譜形狀會變化,因此藉由與預先取得的反射光譜進行光譜匹配,可評價保護膜20的寬度D的變化的晶圓面內分佈。進一步,藉由與利用預先測定的保護膜20的反射率來計算的光譜進行匹配,可評價保護膜20的寬度D的變化的晶圓面內分佈。
一旦從保護膜堆積工程後的反射光譜算出保護膜20的厚度T及保護膜20的寬度W的晶圓面內內分佈,則從預先被保持於資料庫49的蝕刻速率的晶圓面內分佈來探索持有緩和保護膜20的厚度T的晶圓面內分佈之類的蝕刻速率的分佈(除去條件)的過剩堆積膜除去的條件(S305)。
例如,過剩堆積物除去工程的蝕刻量的晶圓面內分佈402是以能成為圖5(c)所示般的蝕刻速率分佈的方式設定處理條件。首先,氣體種類是依據保護膜的膜種類及下層的非蝕刻層14所決定。
首先,當被測定的保護膜20的寬度D比作為目標的溝圖案13的寬度W0更大時,例如,晶圓偏壓為V0以上決定保護膜部分除去條件。晶圓偏壓V0是由保護膜20的種類與保護膜20的厚度來決定。
進一步,由預先記憶於資料庫49的晶圓偏壓V0的情況的保護膜20的寬度D的時間依存性的資料庫來算出保護膜20的寬度D成為目標值W0的時間tx,算出此時的保護膜20的膜厚的晶圓面內分佈。
進一步,由預先被保持於資料庫49的蝕刻速率的晶圓面內分佈來探索持有緩和保護膜20的厚度的晶圓面內分佈之類的蝕刻速率的面內分佈的過剩堆積物除去的條件。
圖8(a)是表示保護膜厚分佈控制資料庫700的一例。過剩堆積物除去工程的處理條件是可從主要由相對於氣體壓力701、氣體流量702、微波功率703、微波Duty704及線圈電流705的蝕刻速率的晶圓面內分佈所成的膜厚分佈控制資料庫,來探索可取得所望的蝕刻分佈的條件,決定最適條件。
又,圖8(b)是表示保護膜20的寬度D的控制資料庫710的一例。為了將保護膜20的寬度D的分佈711形成均一,例如,可決定晶圓偏壓電壓712及Duty比(未圖示),使在所望的蝕刻時間,保護膜20的寬度D能成為均一。
一旦決定除去過剩堆積物的蝕刻條件,則實施過剩堆積物除去工程(S306)。一旦過剩堆積物除去條件工程(S306)開始,則過剩堆積物除去用氣體35會以預定的流量來供給至處理室31。被供給的過剩堆積物除去用氣體35是藉由被施加於高頻施加部41的高頻電力52來成為電漿,被分解成離子或自由基,被照射於晶圓18表面。
此時,藉由從電漿照射的離子來蝕刻時,例如,在晶圓平台32施加從偏壓電源40供給的偏壓電壓53,控制離子能量,而可進行用以修正保護膜20的不均一性之藉由反應性離子協助的蝕刻。
將實施過剩堆積物除去工程後的保護膜20的厚度的晶圓面內分佈403的一例顯示於圖5(d),將在晶圓內位置X0及Xn的圖案的剖面形狀的一例顯示於圖6(b)。保護膜20的厚度的晶圓面內分佈403是藉由過剩堆積物除去工程來均一化,剖面形狀的面內分佈也是保護膜20的寬度Dx02與Dxn2相等,可在晶圓面內形成均一的保護膜20。
一旦過剩沈積除去工程(S306)結束,則為了判定保護膜20的晶圓面內分佈是否為預定的範圍內,而取得在晶圓位置X0及Xn的反射光譜。例如,取得圖5所示的晶圓內位置X0及Xn的反射光譜,其資訊是被送至膜厚控制部47內的膜厚算出部48。
其次,藉由將此取得的反射光譜與利用預先測定的保護膜20的反射率來計算的光譜進行匹配,評價保護膜20的寬度D的變化的晶圓面內分佈。進行匹配,由匹配時的誤差來判定晶圓面內分佈是否成為預定的範圍(S307)。然後,當在不同的位置取得的反射光譜在規定值內的偏差一致時,可判定在晶圓面內形成均一的保護膜20 (在S307,Yes)。
在此,當反射光譜的匹配誤差為規定值以上時(在S307,No),由取得的反射光譜來評價保護膜20的厚度的晶圓面內分佈。進一步,藉由與利用預先測定的保護膜20的反射率來計算的光譜進行匹配,評價保護膜20的寬度D的變化的晶圓面內分佈(S310)。
在S310評價保護膜20的厚度的晶圓面內分佈與保護膜20的寬度W的變化的晶圓面內分佈的結果,當過剩沈積的除去不充分,在保護膜沈積工程後,Tx0>Txn,過剩沈積工程後也為Tx0>Txn時,回到S305,再度探索在過剩堆積物除去工程可消除保護膜20的晶圓面內分佈的除去條件,使能夠去除保護膜20的晶圓面內分佈。實行過剩沈積除去工程(S306)。
另一方面,當過度蝕刻過剩沈積,在保護膜沈積工程後為Tx0>Txn,但過剩沈積除去工程後是成為Tx0<Txn時,回到保護膜沈積工程(S303)。這是被重複至晶圓面內成為均一為止。
在此,在S310中被判定成過剩沈積的除去不充分而回到S305時,由於保護膜20的厚度薄,因此有不可能再探索在過剩堆積物除去工程(S306)去除晶圓面內分佈的其次的條件的情況。於是,檢查保護膜20的厚度(S311),當保護膜20的厚度不足時(在S311,No時),回到S302,取得成為參考的反射光譜之後,回到保護膜堆積工程(S303),從保護膜20的堆積工程再度開始。
在S307被判定成來自晶圓內位置X0與Xn的反射光譜的匹配誤差成為預定的範圍內,在晶圓面內形成均一的保護膜20時(在S307,Yes時),進一步,將同反射光譜與預先被保持於資料庫49的參照資料作比較,算出保護膜20的厚度及保護膜20的寬度,判定是否到達預先被設定的預定的膜厚(S308)。
被算出的厚度未成為預定的膜厚時(在S308,No時),回到保護膜堆積工程(S303),被重複至到達預定的膜厚。
在此,即使重複實施保護膜堆積工程(S303)與過剩沈積除去工程(S306),也會有被形成的保護膜的厚度不增加的情況。圖9是有關重複實施保護膜堆積工程(S303)與過剩沈積除去工程(S306)的情況,顯示每循環的保護膜厚的變化的評價結果的一例。即使如此增加循環數重複形成保護膜,也會有保護膜厚飽和而不增加的情況。
這可明確原因是藉由重複保護膜堆積(S303)與過剩堆積物除去(S306),堆積物附著於處理室3內,在保護膜的堆積(S303)時除去保護膜的成分被放出於電漿中,在晶圓上無法堆積保護膜。
於是,如圖4所示般,取得反射光譜(S307)之後,實施從反射光譜的變化量判定保護膜厚是否飽和的工程(S312),當保護膜厚飽和時,設置實施處理室3內的洗滌處理的工程(S313)。
圖4是表示重複保護膜的堆積工程(S303)與過剩堆積物除去(S306)而形成保護膜的製程流程的其他的一例。即使重複保護膜的堆積工程(S303)與過剩堆積物除去(S306),也未見保護膜厚的增加,被判定成保護膜厚飽和時(在S312,Yes時),從處理室31取出晶圓,實施用以除去附著於處理室31的內壁的堆積物的洗滌處理(S313)。
作為進行洗滌處理的氣體,例如,當使堆積的保護膜為SiO2、Si、SiN、C系的膜時,可使用SF6、NF3等的含F的氣體,及O2、H2、N2、Ar、He等的混合氣體。又,當使堆積的保護膜為BCl、 BN、 BO、BC等時,可使應BCl3、Cl2、O2、CO2、CF4、N2、H2等的混合氣體。
在該等的洗滌處理中,取得來自處理室內的電漿的發光。處理室內的洗滌的結束是例如可藉由監視從堆積物產生的物質的發光,從堆積物產生的發光不被測定到的情形來判定。例如,使含Si的保護膜堆積時,監視來自SiFx的發光的波長的訊號,在該訊號成為規定值以下時結束洗滌。例如,使含C的保護膜堆積時,監視來自COx的發光,在該訊號成為規定值以下時結束洗滌。例如,使含B的保護膜堆積時,監視來自BClx、BFx的發光,在該訊號成為規定值以下時結束洗滌。
或,在使保護膜堆積於晶圓時,同時使保護膜堆積於訊號取得用的樣品上,取得來自前述訊號取得用的樣品的反射光譜。亦可在洗滌後再度取得反射光譜,在與無保護膜時的初期的光譜一致時,結束洗滌。一旦處理室內的洗滌結束,則在其次的保護膜堆積工程之前,取得成為參考的反射光譜(S301)。
在圖9中,敘述有關對於1片的晶圓實施保護膜堆積(S303)與過剩堆積物除去(S306)的情況,保護膜厚飽和的情況,但即使在處理1片的晶圓時可將保護膜厚形成所望的厚度,也會有在量產製程中,在長期間處理多片數的晶圓時,無法形成所望的厚度的保護膜的情形。
圖10是表示有關持續處理多數的晶圓的情況,每1循環被堆積的保護膜的厚度的推移。如圖10所示般,在處理若干片的晶圓的期間,會有每1循環可堆積的保護膜厚逐漸變薄的情況。在如此的情況,取得反射光譜(S307),判定每1循環被堆積的保護膜厚成為規定值以下的情況(S312),設置實施處理室31的洗滌的機能(S313)。
每1循環被堆積的保護膜厚是否成為規定值以下,是依據來自在1循環前取得的反射光譜的變化量來判定(S312)。藉由如此取得每1循環的堆積膜厚的推移,在規定值以下時實施洗滌,可使恢復每1循環的堆積膜厚,可長期間安定形成保護膜。
實施以上所述的處理,保護膜的厚度到達預定的膜厚時(在圖3及圖4的S308,No時),結束保護膜形成工程,以形成的保護膜20作為遮罩,開始下層的蝕刻工程。(S309) 在下層的蝕刻工程(S309)中,首先,以裝置控制部42來控制氣體供給部33,將蝕刻用氣體36以預定的流量來供給處理室31。在供給蝕刻用氣體36而處理室31的內部形成預定的壓力的狀態下,以裝置控制部42來控制高頻電源37,對高頻施加部41施加高頻電力52,而使藉由蝕刻用氣體36的電漿產生於處理室31的內部。
藉由使產生於此處理室31的內部的蝕刻用氣體36的電漿來進行形成有保護膜20的晶圓18的蝕刻處理。一邊進行此蝕刻處理,一邊以光學系38來測定保護膜20的膜厚,至晶圓上的圖案被蝕刻至所望的深度為止測定保護膜20的膜厚,在到達預定的蝕刻的處理時間或所望的深度的時間點結束蝕刻。
在此,在到達蝕刻所望的蝕刻深度之前,會有保護膜20的厚度成為規定值以下的情況。如此的情況(在S310,No時),回到保護膜堆積工程(S303),從保護膜20的堆積工程再度開始,保護膜的堆積會被再度實施至到達預定的膜厚為止。進一步,藉由實施過剩沈積除去工程(S306),在晶圓面內形成均一的膜厚及持有膜寬的保護膜20。
如前述般,重複S303~S310,被重複至形成有保護膜20的晶圓18上的圖案被蝕刻至預定的深度為止。在S310,至預定的深度為止,在到達蝕刻深度的時間點結束蝕刻。進一步,將圖案蝕刻後,可除去使堆積於圖案表面的保護膜。亦可只除去保護膜,或在遮罩材料上形成有保護膜時,亦可與遮罩材料同時除去留在遮罩表面上的保護膜。
藉由對晶圓18實施如此的電漿處理,解決溝圖案13的上面12被蝕刻而圖案的深度變淺的以往技術的課題,或在蝕刻下層的被蝕刻層11的期間遮罩圖案被蝕刻,蝕刻進展至溝圖案13的上面12的課題,可取得圖11所示般的所望的蝕刻形狀。
另外,在上述實施例是敘述有關形成有非蝕刻層14、下層的被蝕刻層11,作為被蝕刻圖案10,在溝圖案13的上面12是未形成有遮罩的情況,只蝕刻被蝕刻圖案10的下層的被蝕刻層11時,在晶圓面內將均一的保護膜20形成於溝圖案13的上面12的手法。
圖12是表示可利用本實施例的保護膜形成手法來蝕刻的圖案的其他的例子。圖12(a)是在被形成於底層28上的被蝕刻層26上形成有遮罩16,在遮罩16薄的情況以高寬高比來形成被蝕刻層26時,藉由在遮罩16上形成均一的保護膜20,可無蝕刻溝圖案13的上面12的情形,加工所望的圖案。
圖12(b)是在以遮罩16所形成的圖案的溝下形成有阻擋層27的非蝕刻層,表示蝕刻其下層的被蝕刻層的情況。此情況,藉由在遮罩16上與阻擋層27上形成均一的保護膜20,可加工有階差的複雜的形狀的圖案。
圖12(c)是表示有關在以遮罩16形成的圖案的溝之中形成微細的凸圖案的情況。無蝕刻位於凸圖案的上面的非蝕刻層14的情形,將被蝕刻層26蝕刻至底層28為止的情況,藉由在遮罩16上與非蝕刻層14上形成均一的保護膜20,可加工有階差的複雜的形狀的圖案。
若根據本實施例,則在被形成於晶圓上的圖案上部,將保護膜除去過剩的堆積物而在晶圓面內均一地形成的狀態下蝕刻處理晶圓,藉此圖案上面被蝕刻而圖案的深度變淺的課題,或在蝕刻下層的被蝕刻材料的期間遮罩圖案被蝕刻,蝕刻進展至圖案上面的課題可解決,可取得所望的蝕刻形狀。
以上,根據實施例具體說明由本發明者所研發的發明,但本發明是不被限定於前述實施例者,當然可在不脫離其主旨的範圍實施各種變更。例如,上述的實施例是為了容易理解本發明而詳細說明者,並非是一定被限定於具有說明的所有的構成者。又,有關各實施例的構成的一部分,可進行其他的構成的追加・削除・置換。
10:被蝕刻圖案 11:被蝕刻層 12:溝圖案的上面 13:溝圖案 14:非蝕刻層 15:底層 16:遮罩 17:蝕刻深度 18:晶圓 20:保護膜 26:被蝕刻層 27:阻擋層 28:底層 30:蝕刻裝置 31:處理室 32:晶圓平台 33:氣體供給部 34:保護膜形成用氣體 35:過剩堆積物除去用氣體 36:蝕刻用氣體 37:高頻電源 38:光學系 39:光學系控制部 40:偏壓電源 41:高頻施加部 42:裝置控制部 43:氣體控制部 44:排氣系控制部 45:高頻控制部 46:偏壓控制部 47:膜厚膜寬控制部 48:膜厚膜寬算出部 49:資料庫 50:記憶部 51:時鐘 56:光源 59:檢測器 60:光纖 61:分光器 62:窗
[圖1]是本發明的電漿處理裝置的一例。 [圖2]是用以說明以往方法的課題的模式圖。 [圖3]是表示實施例的保護膜形成方法的製程流程的一例的圖。 [圖4]是表示實施例的保護膜形成方法的製程流程的其他的一例的圖。 [圖5]是實施例的保護膜形成方法的晶圓面內均一化的說明圖。 [圖6]是實施例的保護膜形成方法的晶圓面內均一化的說明圖。 [圖7]是實施例的保護膜的晶圓面內均一性判定方法的一例的說明圖。 [圖8]是實施例的參照資料庫的一例的說明圖。 [圖9]是保護膜厚的每循環的變化的一例。 [圖10]是實施例的每1循環被堆積的保護膜的厚度的推移的一例。 [圖11]是實施例的電漿處理後的圖案的剖面圖。 [圖12]是適用本發明的其他的圖案的例子的說明圖。
18:晶圓
30:蝕刻裝置
31:處理室
32:晶圓平台
33:氣體供給部
34:保護膜形成用氣體
35:過剩堆積物除去用氣體
36:蝕刻用氣體
37:高頻電源
38:光學系
39:光學系控制部
40:偏壓電源
41:高頻施加部
42:裝置控制部
43:氣體控制部
44:排氣系控制部
45:高頻控制部
46:偏壓控制部
47:膜厚膜寬控制部
48:膜厚膜寬算出部
49:資料庫
50:記憶部
51:時鐘
52:高頻電力
53:偏壓電壓
54:控制訊號
56:光源
57:入射光
58:反射光(干涉光)
59:檢測器
60:光纖
61:分光器
62:窗

Claims (11)

  1. 一種電漿處理方法,係電漿蝕刻被成膜於試料的被蝕刻膜之電漿處理方法,其特徵係具有: 保護膜形成工程,其係在被形成於前述試料的圖案的上部選擇性地形成保護膜,調整前述被形成的前述保護膜的寬度,而使前述被形成的前述保護膜的寬度的前述試料的面內的分佈能夠成為所望的分佈;及 在前述保護膜形成工程後,電漿蝕刻前述被蝕刻膜的工程。
  2. 如請求項1之電漿處理方法,其中,前述保護膜形成工程,係監視從形成有前述保護膜的前述試料反射的干涉光的光譜,根據從在前述試料的面內的前述保護膜的寬度的分佈為所望的分佈時的前述試料反射的干涉光的光譜的圖案與前述被監視的前述干涉光的光譜的圖案的比較結果來調整前述保護膜的寬度。
  3. 如請求項1之電漿處理方法,其中,前述保護膜形成工程,係進一步調整前述保護膜的前述膜厚,而使在前述試料的面內的前述保護膜的膜厚的分佈能夠成為所望的分佈。
  4. 如請求項2之電漿處理方法,其中,前述保護膜形成工程,係根據從形成有在前述被蝕刻膜的前述試料的面內的前述保護膜的膜厚的分佈為所望的分佈時的前述保護膜的前述試料反射的前述干涉光的光譜的圖案與前述被監視的前述干涉光的光譜的圖案的比較結果來進一步調整前述被形成的前述保護膜的前述膜厚。
  5. 如請求項1之電漿處理方法,其中,前述圖案為溝的圖案, 前述被蝕刻膜,係被埋入至前述溝。
  6. 如請求項2之電漿處理方法,其中,被形成於在上部選擇性地形成前述保護膜的前述試料上的前述圖案為溝的圖案, 前述被蝕刻膜,係被埋入至前述溝。
  7. 如請求項1~6項中的任一項所記載之電漿處理方法,其中,前述所望的分佈,係在前述試料的面內為均一的分佈。
  8. 如請求項1之電漿處理方法,其中,藉由重複前述保護膜形成工程及電漿蝕刻前述被蝕刻膜的工程,將前述被蝕刻膜電漿蝕刻至所望的深度。
  9. 如請求項3之電漿處理方法,其中,前述保護膜的膜厚為飽和時,電漿洗滌前述被蝕刻膜所被電漿蝕刻的處理室。
  10. 一種電漿處理裝置,係具備:利用遮罩來電漿蝕刻被成膜於試料的被蝕刻膜的處理室,及供給用以產生電漿的高頻電力的高頻電源,以及載置前述試料的試料台之電漿處理裝置, 其特徵係更具備控制部,其係實行: 保護膜形成工程,其係在被形成於前述試料的圖案的上部選擇性地形成保護膜,調整前述被形成的前述保護膜的寬度,而使在前述被形成的前述保護膜的寬度的前述試料的面內的分佈能夠成為所望的分佈;及 前述保護膜形成工程後,電漿蝕刻前述被蝕刻膜的工程。
  11. 一種電漿處理裝置,係具備:利用遮罩來電漿蝕刻被成膜於試料的被蝕刻膜的處理室,及供給用以產生電漿的高頻電力的高頻電源,以及載置前述試料的試料台之電漿處理裝置, 其特徵係更具備控制部,其係實行: 保護膜形成工程,其係在被形成於前述試料的圖案的上部選擇性地形成保護膜,調整前述被形成的前述保護膜的膜厚,而使在前述被形成的前述保護膜的膜厚的前述試料的面內的分佈能夠成為所望的分佈;及 前述保護膜形成工程後,電漿蝕刻前述被蝕刻膜的工程。
TW109103135A 2019-02-04 2020-02-03 電漿處理方法及電漿處理裝置 TWI732440B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
PCT/JP2019/003817 WO2020121540A1 (ja) 2019-02-04 2019-02-04 プラズマ処理方法及びプラズマ処理装置
WOPCT/JP2019/003817 2019-02-04
WOPCT/JP2019/049420 2019-12-17
PCT/JP2019/049420 WO2020122259A1 (ja) 2019-02-04 2019-12-17 プラズマ処理方法及びプラズマ処理装置

Publications (2)

Publication Number Publication Date
TW202030798A true TW202030798A (zh) 2020-08-16
TWI732440B TWI732440B (zh) 2021-07-01

Family

ID=71076290

Family Applications (1)

Application Number Title Priority Date Filing Date
TW109103135A TWI732440B (zh) 2019-02-04 2020-02-03 電漿處理方法及電漿處理裝置

Country Status (6)

Country Link
US (1) US11462416B2 (zh)
JP (1) JP6858928B2 (zh)
KR (1) KR102520892B1 (zh)
CN (1) CN111801775B (zh)
TW (1) TWI732440B (zh)
WO (2) WO2020121540A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI809602B (zh) * 2020-12-16 2023-07-21 日商日立全球先端科技股份有限公司 電漿處理裝置及電漿處理方法

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2023166613A1 (ja) 2022-03-02 2023-09-07 株式会社日立ハイテク プラズマ処理方法
CN117546276A (zh) * 2022-04-18 2024-02-09 株式会社日立高新技术 等离子体处理方法

Family Cites Families (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5352324A (en) 1992-11-05 1994-10-04 Hitachi, Ltd. Etching method and etching apparatus therefor
JPH11111699A (ja) * 1997-10-07 1999-04-23 Kokusai Electric Co Ltd ガスクリーニング装置およびガスクリーニング方法
JPH11345803A (ja) * 1998-06-03 1999-12-14 Matsushita Electric Ind Co Ltd プラズマ発生加工方法およびプラズマ発生加工装置
US6355581B1 (en) 2000-02-23 2002-03-12 Chartered Semiconductor Manufacturing Ltd. Gas-phase additives for an enhancement of lateral etch component during high density plasma film deposition to improve film gap-fill capability
JP3694662B2 (ja) 2001-09-17 2005-09-14 株式会社日立製作所 半導体素子製造プロセスにおける膜の処理量測定方法と装置、及びそれを用いた被処理材の処理方法と装置、及びそれを用いたプロセスの終点判定方法と装置
JP2004119514A (ja) * 2002-09-24 2004-04-15 Toshiba Corp パターン形成方法及び半導体装置の製造方法
US7977390B2 (en) 2002-10-11 2011-07-12 Lam Research Corporation Method for plasma etching performance enhancement
JP2005294348A (ja) 2004-03-31 2005-10-20 Matsushita Electric Ind Co Ltd 半導体装置の製造方法
JP4599212B2 (ja) * 2005-04-15 2010-12-15 株式会社日立ハイテクノロジーズ プラズマ処理方法
JP4940722B2 (ja) * 2006-03-24 2012-05-30 東京エレクトロン株式会社 半導体装置の製造方法及びプラズマ処理装置並びに記憶媒体
US20080020584A1 (en) 2006-03-24 2008-01-24 Shin Hirotsu Method of manufacturing semiconductor device and plasma processing apparatus
JP2007294905A (ja) * 2006-03-30 2007-11-08 Hitachi High-Technologies Corp 半導体製造方法およびエッチングシステム
US7276447B1 (en) * 2006-04-11 2007-10-02 Applied Materials, Inc. Plasma dielectric etch process including ex-situ backside polymer removal for low-dielectric constant material
MY148830A (en) * 2006-08-22 2013-06-14 Lam Res Corp Method for plasma etching performance enhancement
US8124537B2 (en) * 2008-02-12 2012-02-28 Taiwan Semiconductor Manufacturing Co., Ltd. Method for etching integrated circuit structure
CN101960569B (zh) * 2008-03-07 2012-11-28 株式会社爱发科 等离子处理方法
JP5257936B2 (ja) * 2009-01-22 2013-08-07 シャープ株式会社 プラズマ処理装置およびこれを用いた半導体素子の製造方法
US20110139748A1 (en) 2009-12-15 2011-06-16 University Of Houston Atomic layer etching with pulsed plasmas
US20130344702A1 (en) * 2011-03-04 2013-12-26 Tokyo Electron Limited Method of etching silicon nitride films
US8617411B2 (en) 2011-07-20 2013-12-31 Lam Research Corporation Methods and apparatus for atomic layer etching
KR102099408B1 (ko) 2012-09-18 2020-04-10 도쿄엘렉트론가부시키가이샤 플라즈마 에칭 방법 및 플라즈마 에칭 장치
JP6072613B2 (ja) * 2013-05-30 2017-02-01 株式会社日立ハイテクノロジーズ プラズマ処理方法
US9240359B2 (en) * 2013-07-08 2016-01-19 Applied Materials, Inc. 3D NAND staircase CD control by using interferometric endpoint detection
US9543158B2 (en) * 2014-12-04 2017-01-10 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
JP6550278B2 (ja) 2015-06-24 2019-07-24 東京エレクトロン株式会社 エッチング方法
JP6541618B2 (ja) 2016-05-25 2019-07-10 東京エレクトロン株式会社 被処理体を処理する方法
JP7169072B2 (ja) 2017-02-14 2022-11-10 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
JP6820775B2 (ja) * 2017-03-17 2021-01-27 株式会社日立ハイテク エッチング方法及びプラズマ処理装置

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI809602B (zh) * 2020-12-16 2023-07-21 日商日立全球先端科技股份有限公司 電漿處理裝置及電漿處理方法

Also Published As

Publication number Publication date
JPWO2020122259A1 (ja) 2021-02-15
CN111801775B (zh) 2024-03-22
CN111801775A (zh) 2020-10-20
WO2020121540A1 (ja) 2020-06-18
US11462416B2 (en) 2022-10-04
WO2020122259A1 (ja) 2020-06-18
TWI732440B (zh) 2021-07-01
US20200335354A1 (en) 2020-10-22
KR102520892B1 (ko) 2023-04-13
JP6858928B2 (ja) 2021-04-14
KR20200096753A (ko) 2020-08-13

Similar Documents

Publication Publication Date Title
US10665516B2 (en) Etching method and plasma processing apparatus
US10446453B2 (en) Surface modification control for etch metric enhancement
TWI732440B (zh) 電漿處理方法及電漿處理裝置
US10032681B2 (en) Etch metric sensitivity for endpoint detection
US7993937B2 (en) DC and RF hybrid processing system
US8193007B1 (en) Etch process control using optical metrology and sensor devices
US8173451B1 (en) Etch stage measurement system
KR102172031B1 (ko) 플라스마 처리 방법, 및 플라스마 처리 장치
JP6072613B2 (ja) プラズマ処理方法
US8173450B1 (en) Method of designing an etch stage measurement system
US11978631B2 (en) Forming contact holes with controlled local critical dimension uniformity
US20130071955A1 (en) Plasma etching method
US20240047239A1 (en) Plasma processing device and plasma processing method
Stillahn et al. The role of plasma-surface interactions in process chemistry: mechanistic studies of a-CNx deposition and SF6/O2 etching of silicon
Stillahn The role of plasma-surface interactions in process chemistry: Mechanistic studies of a-carbon nitride deposition and sulfur fluoride/oxygen etching of silicon
JP2010010404A (ja) 半導体製造方法及び半導体製造装置