TW202021130A - 高電壓串疊高電子遷移率電晶體裝置及其製造方法 - Google Patents

高電壓串疊高電子遷移率電晶體裝置及其製造方法 Download PDF

Info

Publication number
TW202021130A
TW202021130A TW108134452A TW108134452A TW202021130A TW 202021130 A TW202021130 A TW 202021130A TW 108134452 A TW108134452 A TW 108134452A TW 108134452 A TW108134452 A TW 108134452A TW 202021130 A TW202021130 A TW 202021130A
Authority
TW
Taiwan
Prior art keywords
layer
hemt device
substrate
gate
transistor device
Prior art date
Application number
TW108134452A
Other languages
English (en)
Other versions
TWI734200B (zh
Inventor
林明正
吳成堡
蔡俊琳
吳浩昀
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202021130A publication Critical patent/TW202021130A/zh
Application granted granted Critical
Publication of TWI734200B publication Critical patent/TWI734200B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/06Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration
    • H01L27/07Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration the components having an active region in common
    • H01L27/0705Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration the components having an active region in common comprising components of the field effect type
    • H01L27/0727Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration the components having an active region in common comprising components of the field effect type in combination with diodes, or capacitors or resistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8236Combination of enhancement and depletion transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/761PN junctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/8252Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using III-V technology
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/495Lead-frames or other flat leads
    • H01L23/49517Additional leads
    • H01L23/49531Additional leads the additional leads being a wiring board
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/495Lead-frames or other flat leads
    • H01L23/49575Assemblies of semiconductor devices on lead frames
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/07Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L29/00
    • H01L25/072Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L29/00 the devices being arranged next to each other
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/107Substrate region of field-effect devices
    • H01L29/1075Substrate region of field-effect devices of field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66431Unipolar field-effect transistors with a heterojunction interface channel or gate, e.g. HFET, HIGFET, SISFET, HJFET, HEMT
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/778Field effect transistors with two-dimensional charge carrier gas channel, e.g. HEMT ; with two-dimensional charge-carrier layer formed at a heterojunction interface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/28Structure, shape, material or disposition of the layer connectors prior to the connecting process
    • H01L2224/29Structure, shape, material or disposition of the layer connectors prior to the connecting process of an individual layer connector
    • H01L2224/29001Core members of the layer connector
    • H01L2224/29099Material
    • H01L2224/2919Material with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/28Structure, shape, material or disposition of the layer connectors prior to the connecting process
    • H01L2224/29Structure, shape, material or disposition of the layer connectors prior to the connecting process of an individual layer connector
    • H01L2224/29001Core members of the layer connector
    • H01L2224/29099Material
    • H01L2224/29198Material with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material, e.g. segmented structures, foams
    • H01L2224/29199Material of the matrix
    • H01L2224/2929Material of the matrix with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/28Structure, shape, material or disposition of the layer connectors prior to the connecting process
    • H01L2224/29Structure, shape, material or disposition of the layer connectors prior to the connecting process of an individual layer connector
    • H01L2224/29001Core members of the layer connector
    • H01L2224/29099Material
    • H01L2224/29198Material with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material, e.g. segmented structures, foams
    • H01L2224/29298Fillers
    • H01L2224/29299Base material
    • H01L2224/29386Base material with a principal constituent of the material being a non metallic, non metalloid inorganic material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L2224/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • H01L2224/321Disposition
    • H01L2224/32151Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/32221Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/32245Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being metallic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/47Structure, shape, material or disposition of the wire connectors after the connecting process
    • H01L2224/48Structure, shape, material or disposition of the wire connectors after the connecting process of an individual wire connector
    • H01L2224/481Disposition
    • H01L2224/48135Connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/48137Connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being arranged next to each other, e.g. on a common substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/47Structure, shape, material or disposition of the wire connectors after the connecting process
    • H01L2224/48Structure, shape, material or disposition of the wire connectors after the connecting process of an individual wire connector
    • H01L2224/481Disposition
    • H01L2224/48151Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/48221Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/48245Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being metallic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/47Structure, shape, material or disposition of the wire connectors after the connecting process
    • H01L2224/48Structure, shape, material or disposition of the wire connectors after the connecting process of an individual wire connector
    • H01L2224/484Connecting portions
    • H01L2224/48475Connecting portions connected to auxiliary connecting means on the bonding areas, e.g. pre-ball, wedge-on-ball, ball-on-ball
    • H01L2224/48476Connecting portions connected to auxiliary connecting means on the bonding areas, e.g. pre-ball, wedge-on-ball, ball-on-ball between the wire connector and the bonding area
    • H01L2224/48491Connecting portions connected to auxiliary connecting means on the bonding areas, e.g. pre-ball, wedge-on-ball, ball-on-ball between the wire connector and the bonding area being an additional member attached to the bonding area through an adhesive or solder, e.g. buffer pad
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/47Structure, shape, material or disposition of the wire connectors after the connecting process
    • H01L2224/48Structure, shape, material or disposition of the wire connectors after the connecting process of an individual wire connector
    • H01L2224/484Connecting portions
    • H01L2224/48475Connecting portions connected to auxiliary connecting means on the bonding areas, e.g. pre-ball, wedge-on-ball, ball-on-ball
    • H01L2224/48499Material of the auxiliary connecting means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/47Structure, shape, material or disposition of the wire connectors after the connecting process
    • H01L2224/49Structure, shape, material or disposition of the wire connectors after the connecting process of a plurality of wire connectors
    • H01L2224/491Disposition
    • H01L2224/49105Connecting at different heights
    • H01L2224/49109Connecting at different heights outside the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73251Location after the connecting process on different surfaces
    • H01L2224/73265Layer and wire connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/06Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration
    • H01L27/0611Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration integrated circuits having a two-dimensional layout of components without a common active region
    • H01L27/0617Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration integrated circuits having a two-dimensional layout of components without a common active region comprising components of the field-effect type
    • H01L27/0629Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration integrated circuits having a two-dimensional layout of components without a common active region comprising components of the field-effect type in combination with diodes, or resistors, or capacitors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0883Combination of depletion and enhancement field effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1066Gate region of field-effect devices with PN junction gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/20Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only AIIIBV compounds
    • H01L29/2003Nitride compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66446Unipolar field-effect transistors with an active layer made of a group 13/15 material, e.g. group 13/15 velocity modulation transistor [VMT], group 13/15 negative resistance FET [NERFET]
    • H01L29/66462Unipolar field-effect transistors with an active layer made of a group 13/15 material, e.g. group 13/15 velocity modulation transistor [VMT], group 13/15 negative resistance FET [NERFET] with a heterojunction interface channel or gate, e.g. HFET, HIGFET, SISFET, HJFET, HEMT
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/778Field effect transistors with two-dimensional charge carrier gas channel, e.g. HEMT ; with two-dimensional charge-carrier layer formed at a heterojunction interface
    • H01L29/7786Field effect transistors with two-dimensional charge carrier gas channel, e.g. HEMT ; with two-dimensional charge-carrier layer formed at a heterojunction interface with direct single heterostructure, i.e. with wide bandgap layer formed on top of active layer, e.g. direct single heterostructure MIS-like HEMT
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/86Types of semiconductor device ; Multistep manufacturing processes therefor controllable only by variation of the electric current supplied, or only the electric potential applied, to one or more of the electrodes carrying the current to be rectified, amplified, oscillated or switched
    • H01L29/861Diodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/153Connection portion
    • H01L2924/1531Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface
    • H01L2924/15311Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface being a ball array, e.g. BGA

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Junction Field-Effect Transistors (AREA)

Abstract

一種半導體裝置包括第一高電子遷移率電晶體(high electron mobility transistor;HEMT)裝置,第一高電子遷移率電晶體裝置設置於半導體結構內且具有第一源極、第一汲極及第一閘極。第二高電子遷移率電晶體裝置設置於半導體結構內並包括耦接至第一汲極的第二源極、第二汲極及第二閘極。二極體連接的電晶體裝置設置於半導體結構內且包括第三源極、第三閘極及耦接至第二閘極的第三汲極。

Description

高電壓串疊高電子遷移率電晶體裝置
現代積體晶片(Integrated chips;IC)包括半導體基底(例如矽)上形成的數百萬或數十億個半導體裝置。積體晶片可根據IC的應用而使用許多不同類型的電晶體裝置。近年來,蜂巢式(cellular)裝置及射頻(radio frequency;RF)裝置的市場逐漸擴大而導致高電壓電晶體裝置的使用顯著增加。舉例而言,由於高電壓電晶體裝置處理高擊穿電壓(例如大於約50伏特)及高頻率的能力,而使得高電壓電晶體裝置通常用於RF傳輸/接收鏈中的功率放大器中。
以下揭露內容提供用於實施所提供的標的的不同特徵的許多不同實施例或實例。下文描述組件及佈置的具體實例以簡化本揭露。當然,這些組件及佈置僅為實例且並不意欲為限制性的。舉例而言,在以下描述中,第一特徵在第二特徵上方或第二特徵上形成可包括第一特徵及第二特徵直接接觸地形成的實施例,且亦可包括額外特徵可在第一特徵與第二特徵之間形成以使得第一特徵與第二特徵可不直接接觸的實施例。另外,本揭露可在各種實例中重複附圖標號及/或字母。此重複是出於簡單及清晰的目的,且本身不規定所論述的各種實施例及/或配置之間的關係。
此外,為易於描述,本文中可使用例如「在...之下」、「在...下方」、「下部」、「在...上方」、「上部」以及其類似者的空間相對術語,以描述如圖中所說明的一個元件或特徵相對於另一(些)元件或特徵的關係。除圖式中所描繪的定向之外,空間相對術語亦意欲涵蓋裝置在使用或操作中的不同定向。設備可以其他方式定向(旋轉90度或處於其他定向),且本文中所使用的空間相對描述詞可同樣相應地進行解譯。
在過去四十年,基於矽的半導體電晶體已是半導體行業中的標準。矽是一種提供良好電氣特性的低成本半導體材料。然而,隨著半導體組件的尺寸連續縮小(亦即減小),在矽基底上製造電晶體變得日益困難。隨著縮小矽裝置持續變得更加困難,基於替代性材料的半導體裝置受到越來越多的關注。氮化鎵(Gallium nitride;GaN)裝置為矽裝置的一種普遍替代物。GaN裝置具有高載流子遷移率及大帶隙(band gap),此有益於高電壓及/或大功率的應用。較高載流子遷移率使GaN裝置能具有用於給出接通電阻及/或擊穿電壓的比矽裝置更小的物理尺寸。
GaN裝置的一種通用類型為高電子遷移率電晶體(high electron mobility transistor;HEMT)裝置。HEMT裝置通常包括具有GaN層及上覆電子生成材料(例如AlGaN)的堆疊結構。GaN層與上覆電子生成材料之間的異質接面(heterojunction)充當HEMT的通道(而非用於MOSFET中的摻雜區)。為降低裝置成本,可在矽基底上形成GaN層。矽基底上形成的GaN HEMT裝置通常遭受裝置之間的側漏(lateral leakage)以及裝置與矽基底之間的豎直洩漏(vertical leakage)。在高電壓(例如大於約500伏特)下,豎直洩漏占主要,使得GaN裝置的最大擊穿電壓(maximum breakdown voltage)與GaN層的厚度成比例。
舉例而言,為形成具有650伏特的最大擊穿電壓及豎直洩漏的可接受程度的裝置,GaN層的厚度必須大於約5 μm(微米)。為形成具有1000伏特的最大擊穿電壓及豎直洩漏的可接受程度的裝置,GaN層可必須具有約等於10微米的厚度。然而,由於晶格失配及沉積難題,難以在矽基底上生長厚的GaN層(例如超過5微米)。由於難以在矽基底上生長厚的GaN層,因此形成具有高擊穿電壓(例如大於約1000伏特)的GaN HEMT裝置為具有挑戰性的。
在一些實施例中,本揭露是關於包括與第二HEMT裝置串聯連接的第一HEMT裝置的高電壓裝置。藉助於二極體連接的電晶體,第二HEMT裝置的閘極連接至第一HEMT裝置。藉由將第一HEMT裝置與第二HEMT裝置串聯耦接,兩個裝置能夠共同地操作為等效於具有相對較大擊穿電壓(亦即比第一HEMT裝置或第二HEMT裝置的擊穿電壓更大的擊穿電壓)的單個高電壓電晶體裝置。因此,具有相對較薄的GaN層(例如5微米或小於5微米或小於10微米)的兩個HEMT裝置可達成與具有較厚GaN層(例如大於5微米)的高電壓裝置類似的擊穿電壓。
圖1示出具有串聯連接的多個高電子遷移率電晶體(HEMT)裝置的高電壓裝置100的一些實施例的示意圖。
高電壓裝置100包括串疊結構(cascode structure),所述串疊結構包括共源極級(common source stage)102及共閘極級(common gate stage)106。共源極級102包括具有第一源極S1 、第一汲極D1 及第一閘極G1 的第一高電子遷移率電晶體(HEMT)裝置104。共閘極級106包括第二HEMT裝置108,所述第二HEMT裝置包括耦接至第一汲極D1 的第二源極S2 、第二汲極D2 及第二閘極G2 。二極體連接的電晶體110耦接於第一HEMT裝置104與第二HEMT裝置108之間並且配置成保護第一HEMT裝置104免受可能損壞第一HEMT裝置104的高電壓(例如在第二HEMT裝置108內)。二極體連接的電晶體110包括第三閘極G3 、耦接至第一HEMT裝置104的第一閘極G1 或耦接至第一源極S1 的第三源極S3 及耦接至第二HEMT裝置108的第二閘極G2 的第三汲極D3
第一HEMT裝置104、第二HEMT裝置108及二極體連接的電晶體110設置於封裝組件101內。在一些實施例中,第一HEMT裝置104可為增強型裝置(即常閉裝置(normally off device))。在各種實施例中,第二HEMT裝置108可為增強型裝置(即常閉裝置)或空乏型(depletion mode)裝置(即常開裝置(normally on device))。在一些實施例中,二極體連接的電晶體110可為增強型HEMT裝置。
藉由將第一HEMT裝置104與第二HEMT裝置108串聯耦接,高電壓裝置100能夠以等效於單個高電壓電晶體裝置的方式操作。舉例而言,第一HEMT裝置104及第二HEMT裝置108配置成共同界定高電壓裝置100的共源極端子SC 、共汲極端子DC 及共閘極端子GC 。高電壓裝置100具有比第一HEMT裝置104或第二HEMT裝置108的擊穿電壓更大的擊穿電壓。舉例而言,在一些實施例中,第一HEMT裝置104及第二HEMT裝置108可分別具有約650伏特的擊穿電壓,而高電壓裝置100可具有約1200伏特的擊穿電壓。當使用有成本效益的HEMT裝置時(例如當使用帶有具有小於10微米的厚度的GaN層的HEMT裝置時),藉由使用第一HEMT裝置104及第二HEMT裝置108以操作為單個高電壓裝置,高電壓裝置100能夠達成高擊穿電壓(high breakdown voltage)。
此外,高電壓裝置100提供優於現有技術水平開關裝置(例如單個HEMT裝置、碳化矽MOSFET等)的電容,藉此提供具有良好開關效能的高電壓裝置100。舉例而言,將第一HEMT裝置104及第二HEMT裝置108串聯耦接將得到高電壓裝置100,所述高電壓裝置具有比第一HEMT裝置104或第二HEMT裝置108的電容更小的總電容(例如藉此所揭露的高電壓裝置100獲得小於現有技術水平開關裝置的一個至兩個級數(order)的電容)。此產生描述裝置的開關特徵的經改良的優值(figure of merit)。舉例而言,描述裝置的高速開關的操作的優值(例如描述共振源極汲極轉變時間)的Qoss*Ron(其中Qoss:MOSFET輸出電容電荷,Ron:導通電阻)可優於傳統碳化矽MOSFET裝置兩倍以上。
圖2示出包括具有多個HEMT裝置的串疊的高電壓裝置200的剖視圖。應瞭解,本文所提供的剖視圖(例如在圖2、圖3B、圖4B等中)為示意圖且可不表示裝置內的一些組件的尺寸及/或形狀。
高電壓裝置200包括第一HEMT裝置104、第二HEMT裝置108及設置於半導體結構212內的二極體連接的電晶體110。半導體結構212包括基底202、在基底202上方的通道結構204及在通道結構204上方的主動結構206。基底202包括第一半導體材料,通道結構204包括第二半導體材料,主動結構206包括第三半導體材料。第二半導體材料及第三半導體材料具有帶隙(bandgaps),所述帶隙在通道結構204與主動結構206之間形成異質接面(heterojunction)。異質接面將電子限制於量子井(quantum well)內,所述量子井沿通道結構204與主動結構206之間的界面形成二維電子氣體(two-dimensional electron gas;2DEG)205。
在一些實施例中,第一半導體材料可為矽,第二半導體材料可為氮化鎵,第三半導體材料可為氮化鋁鎵。在其他實施例中,第二半導體材料及第三半導體材料可包括不同的III-V半導體(例如GaAs、GaSb或類似者)。在一些實施例中(未示出),緩衝層可佈置於第一半導體材料與第二半導體材料之間。緩衝層配置成降低第一半導體材料與第二半導體材料之間的晶格失配(lattice mismatch)。舉例而言,在一些實施例中,緩衝層可包括氮化鋁。 多個第一隔離區208可佈置於兩個或多於兩個第一HEMT裝置104、第二HEMT裝置108及二極體連接的電晶體110之間的半導體結構212內。多個第一隔離區208配置成提供第一HEMT裝置104、第二HEMT裝置108及/或二極體連接的電晶體110之間的電性隔離。在一些實施例中,多個第一隔離區208設置於通道結構204及主動結構206內。在一些實施例中,多個第一隔離區208可包括摻雜區(例如具有氟摻雜劑、氧摻雜劑或類似者)。在其他實施例中,多個第一隔離區208可包括介電材料(例如淺溝槽隔離結構(shallow trench isolation structure))。
第二隔離區210亦設置於第一HEMT裝置104與第二HEMT裝置108之間。第二隔離區210配置成提供第一HEMT裝置104與第二HEMT裝置108之間的電性隔離。在一些實施例中,第二隔離區210可包括摻雜隔離區。在其他實施例中,第二隔離區210可為不含半導體材料的區域。舉例而言,在一些此類實施例中,半導體結構212可包括第一區域(例如第一晶粒)及具有最外側壁的第二區域(例如第二晶粒),所述最外側壁橫向間隔一非零距離。在一些實施例中,第一HEMT裝置104及二極體連接的電晶體110可設置於第一區域內,第二HEMT裝置108可設置於第二區域內。
第一HEMT裝置104、第二HEMT裝置108及二極體連接的電晶體110分別包括閘極結構214,所述閘極結構214設置於源極接觸件216s與汲極接觸件216d之間的主動結構206上方。閘極結構214、源極接觸件216s及汲極接觸件216d界定了以下構件:第一HEMT裝置104的第一閘極G1 、第一源極S1 及第一汲極D1 ;第二HEMT裝置108的第二閘極G2 、第二源極S2 及第二汲極D2 ;以及二極體連接的電晶體110的第三閘極G3 、第三源極S3 及第三汲極D3 。在一些實施例中,對於第一HEMT裝置104、第二HEMT裝置108及/或二極體連接的電晶體110來說,閘極至汲極的距離可在約15微米(μm)與約20微米之間的範圍內。舉例而言,自閘極(例如第一閘極G1 )至汲極(例如第一汲極D1 )的距離可約等於18微米。
閘極結構214包括下部閘極部分214a及設置於下部閘極部分214a上方的閘極電極214b。在一些實施例中,下部閘極部分214a可包括介電材料(例如氧化物、氮化物或類似者)。在其他實施例中,下部閘極部分214a可包括半導體材料(例如p摻雜氮化鎵)。在一些實施例中,閘極電極214b可包括金屬(例如鋁、鈦、銅、鎢、鉭或類似者)或摻雜多晶矽。在一些實施例中,第一HEMT裝置104、第二HEMT裝置108及/或二極體連接的電晶體110的下部閘極部分214a可包括不同材料。舉例而言,在一些實施例中,第一HEMT裝置104及二極體連接的電晶體110的下部閘極部分214a可包括介電材料,而第二HEMT裝置108的下部閘極部分214a可包括p摻雜GaN。在其他實施例中,第一HEMT裝置104、第二HEMT裝置108以及二極體連接的電晶體110的下部閘極部分214a可包括相同材料(例如介電材料)。
為了向高電壓裝置200提供較大的擊穿電壓(例如大於約1200伏特的擊穿電壓),第一閘極G1 、第二閘極G2 及第三閘極G3 的有效寬度可具有相對較大的尺寸。在一些實施例中,第一閘極G1 、第二閘極G2 及第三閘極G3 的有效寬度可共同地在約200毫米(mm)與約300毫米之間的範圍內。在一些此類實施例中,第一閘極G1 及/或第二閘極G2 可分別具有在約100毫米與約150毫米之間的範圍內的有效寬度,而第三閘極G3 的有效寬度可在約5毫米與15毫米之間的範圍內。舉例而言,第一閘極G1 及/或第二閘極G2 的有效寬度可等於約120毫米,而第三閘極G3 的有效寬度可約等於11.2毫米。在一些實施例中,第一閘極G1 、第二閘極G2 及第三閘極G3 可具有沿多個不同方向(例如沿第一方向及垂直於第一方向的第二方向)延伸的有效寬度。藉由具有沿多個不同方向延伸的第一閘極G1 、第二閘極G2 及第三閘極G3 的有效寬度,閘極可設置於包含在區域內的佈局(layout)中,所述區域小於有效寬度(例如在10平方毫米的區域內)。
介電結構218設置於主動結構206上方。介電結構218環繞閘極結構214、源極接觸件216s及汲極接觸件216d。閘極結構214、源極接觸件216s及汲極接觸件216d藉由一或多個導電層(未示出)電性連接(例如,如圖1中所示)。在一些實施例中,一或多個導電層可包括設置於介電結構218內的內連線層。在一些其他的實施例中,一或多個導電層可包括重佈線層、中介層基底(interposer substrate)內的導電層、印刷電路板上的導電跡線或類似者。
圖3A至圖3B示出包括具有多個高電子遷移率電晶體裝置的串疊的高電壓裝置的一些實施例。
如圖3A的示意圖300中所示,高電壓裝置包括第一HEMT裝置302、第二HEMT裝置304及二極體連接的HEMT裝置306。第一HEMT裝置302及二極體連接的HEMT裝置306設置於第一晶粒308a內,第二HEMT裝置304設置於第二晶粒308b內。第一HEMT裝置302包括第一源極S1 、第一汲極D1 及第一閘極G1 。第二HEMT裝置304包括耦接至第一汲極D1 的第二源極S2 、第二汲極D2 及第二閘極G2 。二極體連接的HEMT裝置306包括耦接至第一閘極G1 的第三源極S3 、耦接至第二閘極G2 的第三汲極D3 及耦接至第三源極S3 的第三閘極G3 。第一HEMT裝置302、第二HEMT裝置304及二極體連接的HEMT裝置306為增強型裝置(即常關裝置),所述增強型裝置在將零偏壓(zero bias)施加至其閘極時處於關閉狀態。
第一HEMT裝置302及第二HEMT裝置304為高電壓裝置提供共源極SC 、共汲極DC 及共閘極GC 。在操作期間,共源極SC 可保持處於VSS (例如接地)且共汲極DC 可保持處於VDD (例如1000伏特)。施加至共閘極端子GC 的偏壓電壓將接通第一HEMT裝置302及第二HEMT裝置304兩者。藉由使用二極體連接的HEMT裝置306將第一閘極G1 耦接至第二閘極G2 ,第一閘極G1 將不會不經意地受第二HEMT裝置304的閘極汲極電壓(VGD )的影響,並且第一閘極G1 亦受到保護而不受第二HEMT裝置304的潛在高閘極源極電壓(VGS )的影響。
如圖3B的剖視圖310中所示,第一HEMT裝置302及二極體連接的HEMT裝置306佈置於第一晶粒308a內,並且第二HEMT裝置304佈置於與第一晶粒308a不同的第二晶粒308b內。第一晶粒308a及第二晶粒308b具有間隔一非零距離S 的最外側壁。非零距離S 使第一晶粒308a與第二晶粒308b間隔開以防止第一HEMT裝置302與第二HEMT裝置304之間的洩漏。
在一些實施例中,第一晶粒308a包括第一基底312a、第一通道層314a以及第一主動層316a,其中第一基底312a包括第一半導體材料,第一通道層314a定位於第一基底312a上方且包括第二半導體材料,第一主動層316a定位於第一通道層314a上方且包括與第二半導體材料不同的第三半導體材料。在一些實施例中,第二晶粒308b包括第二基底312b、第二通道層314b、以及第二主動層316b,其中第二基底312b包括第一半導體材料,第二通道層314b定位於第二基底312b上方且包括第二半導體材料,以及第二主動層316b定位於第二通道層314b上方且包括第三半導體材料。在一些實施例中,第一半導體材料可包括或為矽,第二半導體材料可包括或為氮化鎵,第三半導體材料可包括或為氮化鋁鎵。
在一些實施例中,第一通道層314a及第二通道層314b可包括具有小於或等於約5微米的厚度的GaN,因為GaN能夠以相對較低的成本以此類厚度可靠地在矽上形成。在一些其他實施例中,第一通道層314a及第二通道層314b可包括具有小於或小於或等於約10微米的厚度的GaN,因為GaN可能夠以此類厚度可靠地在矽上形成。在另外其他實施例中,第一通道層314a及第二通道層314b可包括具有大於5微米的厚度的GaN。舉例而言,第一通道層314a及第二通道層314b可包括具有在約5微米與約10微米之間的厚度的GaN。
多個第一隔離區208佈置於第一HEMT裝置302與二極體連接的HEMT裝置306之間的第一晶粒308a內。多個第一隔離區208可包括破壞(亦即中斷)設置於第一通道層314a與第一主動層316a之間的2DEG的摻雜區。在一些實施例中,多個第一隔離區208可包括氧摻雜劑、氟摻雜劑或類似者。多個第一隔離區208可圍繞第一HEMT裝置302、第二HEMT裝置304及二極體連接的HEMT裝置306連續地延伸。在一些實施例中,多個第一隔離區208具有沿第一晶粒308a的外邊緣的第一寬度w1 及直接在第一HEMT裝置302與二極體連接的HEMT裝置306之間的第二寬度w2 。在一些實施例中,由於用於單體化第一晶粒308a的切割製程,第二寬度w2 大於第一寬度w1
第一HEMT裝置302、二極體連接的HEMT裝置306以及第二HEMT裝置304分別包括源極接觸件216s、汲極接觸件216d以及閘極結構318,其設置於第一主動層316a及第二主動層316b上方的第一介電結構324a及第二介電結構324b內。一或多個導電層326耦接至第一HEMT裝置302、二極體連接的HEMT裝置306以及第二HEMT裝置304的源極接觸件216s、汲極接觸件216d及閘極結構318。一或多個導電層326配置成電性耦接如圖3A中所示的第一HEMT裝置302、二極體連接的HEMT裝置306以及第二HEMT裝置304。在一些實施例中,一或多個導電層326可包括內連線層(例如內連線及/或通孔)、接合線或類似者。
源極接觸件216s及汲極接觸件216d包括導電材料,導電材料例如金屬,金屬例如鋁、鎢、銅、金、鈦、鉭或類似者。在一些實施例中,由於第一HEMT裝置302、第二HEMT裝置304及二極體連接的HEMT裝置306為增強型裝置,因此閘極結構318可包括半導體材料的摻雜層320及設置於半導體材料的摻雜層320上方的閘極電極322。在一些實施例中,半導體材料的摻雜層320可包括具有p型摻雜劑的GaN層。在一些實施例中,閘極電極322可包括金屬,例如鋁、鎢、銅、金、鈦、鉭或類似者。
圖4A至圖4B示出包括具有多個HEMT裝置的串疊的高電壓裝置的一些實施例。
如圖4A的示意圖400中所示,高電壓裝置包括第一HEMT裝置302、第二HEMT裝置304及二極體連接的HEMT裝置306。第一HEMT裝置302、第二HEMT裝置304及二極體連接的HEMT裝置306設置於晶粒402內。第一HEMT裝置302、第二HEMT裝置304及二極體連接的HEMT裝置306為增強型裝置(亦即常閉裝置),所述增強型裝置在將零偏壓施加至其閘極時處於關閉狀態。
如圖4B的剖視圖404中所示,第一HEMT裝置302、第二HEMT裝置304及二極體連接的HEMT裝置306佈置於晶粒402內,所述晶粒包括具有第一摻雜類型的基底406、基底406上方的磊晶緩衝層(epitaxial buffer layer)408、磊晶緩衝層408上方的通道層410以及通道層410上方的主動層412。在一些實施例中,基底406及磊晶緩衝層408可包括或為具有第一摻雜類型(例如p型)的矽,通道層410可包括或為氮化鎵,主動層412可包括或為氮化鋁鎵。在一些實施例中,通道層410可為具有小於或等於約10微米的厚度的氮化鎵。在一些實施例中,通道層410可為具有小於或等於約5微米的厚度的氮化鎵。
隔離結構414設置於晶粒402內。隔離結構414具有不同於第一摻雜類型的第二摻雜類型(例如n型)。隔離結構414包括水平延伸隔離區414a,水平延伸隔離區414a橫向設置於一或多個豎直延伸隔離區414b的側壁之間。在一些實施例中,磊晶緩衝層408佈置於隔離結構414與通道層410之間。在形成水平延伸隔離區414a期間,基底406可發生晶格損壞(lattice damage)。磊晶緩衝層408提供用於待生長於其上的通道層410的一致晶格,以避免基底406內晶格損壞的擴散。
導電接觸件416延伸穿過通道層410及主動層412以接觸一或多個豎直延伸隔離區414b。導電接觸件416進一步耦接至佈置於主動層412上方的介電結構324內的導電接觸件418。在一些實施例中,導電接觸件416沿水平面物理接觸隔離結構414,所述水平面沿磊晶緩衝層408的頂表面延伸。
導電接觸件416配置成將偏壓施加至隔離結構414以形成將基底406與第二HEMT裝置304的通道層410電性隔離的接面。藉由將基底406與第二HEMT裝置304的通道層410電性隔離,經由基底406的裝置之間的洩漏減少。在一些實施例中,包括一或多種介電材料的絕緣層417可沿導電接觸件416的側壁設置。在一些此類實施例中,絕緣層417可包括接觸磊晶緩衝層408的第一介電材料、接觸通道層410的第二介電材料及接觸主動層412的第三介電材料。在其他實施例中,導電接觸件416可直接接觸通道層410。
在一些實施例中,多個第一隔離區208可圍繞第一HEMT裝置302及二極體連接的HEMT裝置306連續地延伸。在一些實施例中,導電接觸件416及下面的隔離結構414可圍繞第二HEMT裝置304連續地延伸。在其他實施例中,隔離結構414可圍繞第二HEMT裝置304連續地延伸,而導電接觸件416可包括設置於隔離結構414的部分上方的離散區段(discrete segment)。
圖5A至圖5B示出包括具有多個HEMT裝置的串疊的高電壓裝置的一些實施例。
如圖5A的示意圖500中所示,高電壓裝置包括第一HEMT裝置302、第二HEMT裝置502及二極體連接的HEMT裝置306。第一HEMT裝置302及二極體連接的HEMT裝置306設置於第一晶粒308a內,而第二HEMT裝置502設置於第二晶粒308b內。第一HEMT裝置302及二極體連接的HEMT裝置306為增強型裝置(亦即常閉裝置),所述增強型裝置在將零偏壓施加至其閘極時處於關閉狀態。第二HEMT裝置502為空乏型裝置(亦即常開裝置),所述空乏型裝置在將零偏壓施加至其閘極時處於開啟狀態。
第一HEMT裝置302包括第一源極S1 、第一汲極D1 及第一閘極G1 。第二HEMT裝置502包括耦接至第一汲極D1 的第二源極S2 、第二汲極D2 及第二閘極G2 。二極體連接的HEMT裝置306包括耦接至第一源極S1 的第三源極區S3 及耦接至第二閘極G2 的第三汲極D3 。藉由使用二極體連接的HEMT裝置306將第一源極S1 耦接至第二閘極G2 ,第一HEMT裝置302將不會不經意地受第二HEMT裝置304的閘極汲極電壓(VGD )的影響。
如圖5B的剖視圖504中示出,第一HEMT裝置302及二極體連接的HEMT裝置306佈置於第一晶粒308a內,第二HEMT裝置502佈置於第二晶粒308b內。第一晶粒308a及第二晶粒308b具有間隔一非零距離S 的最外側壁。
第一HEMT裝置302、二極體連接的HEMT裝置306及第二HEMT裝置502分別包括源極接觸件216s及汲極接觸件216d。由於第一HEMT裝置302及二極體連接的HEMT裝置306為增強型裝置,因此第一HEMT裝置302及二極體連接的HEMT裝置306包括閘極結構318,所述閘極結構包括半導體材料的摻雜層320及半導體材料的摻雜層320上方的閘極電極322。由於第二HEMT裝置502為空乏型裝置,因此第二HEMT裝置502包括具有介電層508及介電層508上方的閘極電極322的閘極結構506。
圖6A至圖6B示出包括具有多個HEMT裝置的串疊結構的封裝高電壓裝置的一些實施例。圖6A示出封裝高電壓裝置的剖視圖600。圖6B示出封裝高電壓裝置的俯視圖620。剖視圖600沿著俯視圖620的線A-A'截取。
封裝高電壓裝置(packaged high voltage device)包括晶粒墊602,在所述晶粒墊上第一晶粒308a及第二晶粒308b接合。在一些實施例中,第一晶粒308a及第二晶粒308b藉助於黏著層604接合到晶粒墊602。在各種實施例中,黏著層604可包括膠、環氧樹脂或類似者。第一晶粒308a及第二晶粒308b通常間隔一非零距離S 。在一些實施例中,非零距離S 可在約1微米與約1毫米之間的範圍內以確保第一晶粒308a與第二晶粒308b之間的電性隔離。
晶粒墊602由在第一晶粒308a及第二晶粒308b上方連續延伸的模製化合物612環繞。多個引線框架614自模製化合物612內部延伸至模製化合物612的外部。在一些實施例中,模製化合物612可包括環氧樹脂、矽、二氧化矽填充劑及/或其他類型的聚合物。一或多個接合線616配置成耦接第一晶粒308a及第二晶粒308b。一或多個接合線616進一步將第一晶粒308a及第二晶粒308b耦接至多個引線框架(lead frame)614。在一些實施例中,藉助於焊料球618,一或多個接合線616耦接至接合墊608且耦接至引線框架614。
如剖視圖600中所示,第一晶粒308a包括環繞第一多個導電內連線層606a的第一介電結構324a。第一多個導電內連線層606a將第一HEMT裝置302及二極體連接的HEMT裝置306電性耦接至在第一介電結構324a上方的接合墊608。在一些實施例中,鈍化層610可在接合墊608上方。第二晶粒308b包括環繞第二多個導電內連線層606b的第二介電結構324b。第二多個導電內連線層606b將第二HEMT裝置電性耦接至第二介電結構324b上方的接合墊608。在一些實施例中,第一介電結構324a及/或第二介電結構324b包括堆疊ILD層,所述堆疊ILD層分別包括二氧化矽、矽摻雜二氧化物(例如碳摻雜二氧化矽)、氮氧化矽、硼矽酸鹽玻璃(borosilicate glass;BSG)、磷酸矽玻璃(phosphoric silicate glass;PSG)、硼磷矽玻璃(borophosphosilicate glass;BPSG)、氟化矽玻璃(fluorinated silicate glass;FSG)或類似者。
在一些實施例中(未示出),第一多個導電內連線層606a配置成將第一HEMT裝置302的第一閘極G1 電性耦接至二極體連接的HEMT裝置306的第三源極S3 及第三閘極G3 。一或多個接合線616配置成將第一HEMT裝置302的第一汲極D1 電性耦接至第二HEMT裝置304的第二源極S2 ,以及進一步將第二HEMT裝置304的第二閘極G2 耦接至二極體連接的HEMT裝置306的第三汲極D3
在其他實施例中(未示出),第一多個導電內連線層606a配置成將第一HEMT裝置302的第一源極S1 電性耦接至二極體連接的HEMT裝置306的第三源極S3 及第三閘極G3 。一或多個接合線616配置成將第一HEMT裝置302的第一汲極D1 電性耦接至第二HEMT裝置304的第二源極S2 ,以及進一步將第二HEMT裝置304的第二閘極G2 耦接至二極體連接的HEMT裝置306的第三汲極D3
圖7示出包括具有多個HEMT裝置的串疊結構的封裝高電壓裝置700的一些額外實施例的剖視圖。
封裝高電壓裝置700包括設置於載板基底702(例如中介層基底)上方的晶粒402。晶粒402包括第一HEMT裝置302、第二HEMT裝置304及二極體連接的HEMT裝置306。模製化合物704亦設置於載板基底702上方且環繞晶粒402。
晶粒402包括環繞多個導電內連線層706的介電結構324。在一些實施例中(未示出),多個導電內連線層706配置成將第一HEMT裝置302的第一閘極G1 電性耦接至二極體連接的HEMT裝置306的第三源極S3 及第三閘極G3 ,將第一HEMT裝置302的第一汲極D1 電性耦接至第二HEMT裝置304的第二源極S2 ,以及進一步將第二HEMT裝置304的第二閘極G2 耦接至二極體連接的HEMT裝置306的第三汲極D3
藉助於多個微凸塊(micro-bump)708,晶粒402電性耦接至載板基底702。多個基底穿孔(through substrate via;TSV)710延伸穿過載板基底702,並且將多個微凸塊708電性耦接至多個焊料凸塊714。在一些實施例中,一或多個重佈線層712a及/或重佈線層712b可沿載板基底702的頂部及/或底部佈置以在TSV 710與多個微凸塊708及/或多個焊料凸塊714之間提供橫向佈線。
圖8示出包括具有多個HEMT裝置的串疊結構的封裝高電壓裝置800的一些實施例的剖視圖。
封裝高電壓裝置800包括晶粒墊602,晶粒402在所述晶粒墊上方接合。在一些實施例中,藉助於黏著層604,晶粒402接合至晶粒墊602。晶粒墊602由在晶粒402上方延伸的模製化合物612環繞。多個引線框架614自模製化合物612內部延伸至模製化合物612的外部。一或多個接合線616配置成將晶粒402耦接至多個引線框架614。在一些實施例中,藉助於焊料球618,一或多個接合線616耦接至接合墊608且耦接至引線框架614。
晶粒402包括環繞多個導電內連線層706的介電結構324。在一些實施例中,多個導電內連線層706配置成將第一HEMT裝置302的第一閘極G1 電性耦接至二極體連接的HEMT裝置306的第三源極S3 及第三閘極G3 ,將第一HEMT裝置302的第一汲極D1 電性耦接至第二HEMT裝置304的第二源極S2 ,並將第二HEMT裝置304的第二閘極G2 進一步耦接至二極體連接的HEMT裝置306的第三汲極D3
圖9至圖15示出形成包括具有多個HEMT裝置的串疊結構的高電壓裝置的方法的一些實施例的剖視圖900至剖視圖1500。儘管參考形成具有多個HEMT裝置的串疊結構的方法描述圖9至圖15中所示的剖視圖900至剖視圖1500,但應瞭解,圖9至圖15中所示的結構不限於所述形成方法而是可獨立於所述方法。
如圖9的剖視圖900中所示,提供基底312。基底312包括具有第一摻雜類型(例如p型摻雜劑)的半導體材料。在各種實施例中,基底312可為任何類型的半導體主體(例如矽、SiGe、SOI等)及與其相關聯的任何其他類型的半導體、磊晶、介電質或金屬層。基底312包括第一HEMT裝置區域902、第二HEMT裝置區域904以及二極體連接的HEMT裝置區域906。
如圖10的剖視圖1000中所示,在基底312上方形成通道層314,並且在通道層314上方形成主動層316。通道層314包括與主動層316的第二材料不同的第一材料。舉例而言,在一些實施例中,通道層314可包括氮化鎵(GaN),主動層316可包括氮化鋁鎵(AlGaN)。在各種實施例中,藉助於沉積製程(例如化學氣相沉積(chemical vapor deposition;CVD)、電漿增強式化學氣相沉積(plasma enhanced chemical vapor deposition;PE-CVD)、原子層沉積(atomic layer deposition;ALD)、物理氣相沉積(physical vapor deposition;PVD)等),可在基底312上形成通道層314及/或主動層316。在一些實施例中,通道層314可形成為小於或等於約5微米的厚度,從而以相對較低的成本來提供高品質通道層。
如圖11的剖視圖1100中所示,在主動層316內形成多個第一隔離區208。多個第一隔離區208可包括自主動層316的頂部豎直延伸至通道層314內的摻雜區。多個第一隔離區208橫向定位於第一HEMT裝置區域902、第二HEMT裝置區域904及二極體連接的HEMT裝置區域906之間。
在一些實施例中,多個第一隔離區208藉由在主動層316上方形成第一經圖案化罩幕層(patterned masking layer)1102,隨後根據第一經圖案化罩幕層1102將一或多種摻雜劑物質1104植入於主動層316中而形成。在一些實施例中,摻雜劑物質1104可足以將摻雜劑物質1104驅動至通道層314中的能量植入。在一些實施例中,可執行驅入退火(drive-in anneal)以在植入後使摻雜劑物質擴散。在一些實施例中,摻雜劑物質1104可包括氧摻雜劑、氟摻雜劑或類似者。在一些實施例中,舉例而言,第一經圖案化罩幕層1102可包括光阻。
圖12A的剖視圖1200及圖12B的剖視圖1202示出在基底312上方及在第一HEMT裝置區域(圖11的第一HEMT裝置區域902)、第二HEMT裝置區域(圖11的第二HEMT裝置區域904)及二極體連接的HEMT裝置區域(圖11的二極體連接的HEMT裝置區域906)內形成閘極結構214、源極接觸件216s以及汲極接觸件216d的替代性實施例。閘極結構214、源極接觸件216s及汲極接觸件216d定義了以下構件:第一HEMT裝置104的第一閘極G1 、第一源極S1 以及第一汲極D1 ;第二HEMT裝置108的第二閘極G2 、第二源極S2 以及第二汲極D2 ;以及二極體連接的電晶體110的第三閘極G3 、第三源極S3 以及第三汲極D3
在一些實施例中,繪示於圖12A的剖視圖1200中,在第一HEMT裝置104、第二HEMT裝置108及二極體連接的電晶體110內的閘極結構214可藉由在主動層316上方沉積下部閘極層而形成。在一些實施例中,下部閘極層可包括介電材料(例如氧化物、氮化物或類似者)或半導體材料(例如p摻雜GaN)。圖案化下部閘極層以界定閘極結構214的下部閘極部分214a。在下部閘極部分214a及主動層316上方形成導電層。圖案化導電層以界定閘極電極214b、源極接觸件216s及汲極接觸件216d。在一些實施例中,可藉由在下部閘極層及導電層上方形成光阻層,隨後在未被光阻層覆蓋的區域中蝕刻下部閘極層及導電層而圖案化下部閘極層及導電層。在此類實施例中,閘極結構214可具有第一高度h1 ,所述第一高度h1 大於源極接觸件216s及汲極接觸件216d的第二高度h2 。在一些此類實施例中,下部閘極部分214a可橫向延伸穿過閘極電極214b的最外側壁。
在其他實施例中,繪示於圖12B的剖視圖1202中,在第一HEMT裝置104、第二HEMT裝置108及二極體連接的電晶體110內的閘極結構214可藉由在主動層316上方沉積下部閘極層,並且在下部閘極層上方沉積導電層而形成。隨後使用相同罩幕層(例如光阻層)圖案化下部閘極層及導電層以界定具有下部閘極部分214a及閘極電極214b的閘極結構214。隨後在主動層316及閘極結構214上方沉積介電材料1204。選擇性地圖案化介電材料1204以界定介電材料1204中的開口。隨後用導電材料填充開口,接著進行界定源極接觸件216s及汲極接觸件216d的平坦化製程。在此類實施例中,閘極結構214可具有第一高度h1 ,所述第一高度h1 小於源極接觸件216s及汲極接觸件216d的第二高度h2 。在一些此類實施例中,下部閘極部分214a及閘極電極214b的側壁可實質上對齊。
如圖13的剖視圖1300中所示,在主動層316上方形成的介電結構324內形成一或多個導電內連線層606。在一些實施例中(未示出),一或多個導電內連線層606配置成將第一HEMT裝置104的第一閘極G1 電性耦接至二極體連接的電晶體110的第三源極S3 及第三閘極G3 。在其他實施例中,一或多個導電內連線層606配置成將第一HEMT裝置104的第一源極S1 電性耦接至二極體連接的電晶體110的第三源極S3 及第三閘極G3
在一些實施例中,介電結構324可包括由多個蝕刻終止層間隔開的多個堆疊ILD層。在一些實施例中,多個導電內連線層706可包括內連線電線及內連線通孔的交替層。在一些實施例中,多個導電內連線層706可分別藉由金屬鑲嵌製程(damascene process)的方式而形成。在此類實施例中,在主動層412上方形成ILD層。隨後蝕刻ILD層以形成介層通孔及/或溝渠,所述介層通孔及/或溝渠用導電材料(例如鎢、銅及/或鋁)填充。隨後執行化學機械平坦化(chemical mechanical planarization;CMP)製程以自ILD層上方移除過量的導電材料。
在一些實施例中,可在一或多個導電內連線層606及/或介電結構324上形成接合墊608。可隨後在接合墊608上方形成鈍化層610。可藉由在一或多個導電內連線層606及介電結構324上方沉積導電層,隨後圖案化導電層以界定接合墊608而形成接合墊608。鈍化層610可藉由接著圖案化製程的沉積製程而形成。
如圖14的剖視圖1400中所示,沿一或多個切割道1402切割基底312以形成第一晶粒308a及第二晶粒308b。第一晶粒308a包括第一HEMT裝置104及二極體連接的電晶體110。第二晶粒308b包括第二HEMT裝置108。儘管第一晶粒308a及第二晶粒308b示出為來自相同的基底,但應瞭解,在替代性實施例中,第一晶粒308a及第二晶粒308b可在不同的基底中形成。舉例而言,在一些實施例中,第一晶粒308a在第一晶圓中形成且第二晶粒在與第一晶圓不同的第二晶圓中形成。
如圖15的剖視圖1500中所示,第一晶粒308a及第二晶粒308b定位於封裝內。在一些實施例中,藉助於黏著層604,第一晶粒308a及第二晶粒308b可接合至晶粒墊602。藉助於一或多個接合線616,第一晶粒308a隨後線接合至第二晶粒308b且接合至多個引線框架614。隨後圍繞第一晶粒308a、第二晶粒308b、晶粒墊602及多個引線框架614而形成模製化合物。
在一些實施例中(未示出),一或多個接合線616配置成將第一HEMT裝置104的第一汲極D1 電性耦接至第二HEMT裝置108的第二源極S2 ,以及進一步將第二HEMT裝置108的第二閘極G2 耦接至二極體連接的電晶體110的第三汲極D3 。一或多個導電內連線層606及一或多個接合線616的電性連接使得第一HEMT裝置104、第二HEMT裝置108及二極體連接的電晶體110充當具有共源極端子、共汲極端子及共閘極端子的單個高電壓裝置(於圖2中示出)。高電壓裝置的擊穿電壓大於第一HEMT裝置104或第二HEMT裝置108的擊穿電壓。
圖16至圖23示出形成包括具有多個HEMT裝置的串疊結構的高電壓裝置的方法的一些替代性實施例的剖視圖1600至剖視圖2300。儘管參考形成具有多個HEMT裝置的串疊結構的方法描述圖16至圖23中所示的剖視圖1600至剖視圖2300,但應瞭解,圖16至圖23中所示的結構不限於所述形成方法而是可獨立於所述方法。
如圖16的剖視圖1600中所示,提供基底406。基底406包括具有第一摻雜類型(例如p型摻雜劑)的半導體材料。在各種實施例中,基底406可為任何類型的半導體主體(例如矽、SiGe、SOI等)及與其相關聯的任何其他類型的半導體、磊晶、介電質或金屬層。基底406包括第一HEMT裝置區域902、第二HEMT裝置區域904以及二極體連接的HEMT裝置區域906。
如圖17的剖視圖1700中所示,在基底406內形成水平延伸隔離區414a。水平延伸隔離區414a包括摻雜區,所述摻雜區具有與基底406的第一摻雜類型不同的第二摻雜類型。在一些實施例中,水平延伸隔離區414a可藉由在基底406上方形成第一罩幕層1702,隨後根據第一罩幕層1702將一或多個第一摻雜劑物質1704植入於基底406中而形成。在一些實施例中,舉例而言,第一罩幕層1702可包括光阻。
如圖18的剖視圖1800中所示,在基底406及水平延伸隔離區414a上方形成磊晶緩衝層408。磊晶緩衝層408配置成提供一致的晶格,所述晶格防止水平延伸隔離區414a的結晶損壞擴散至上覆層。在一些實施例中,磊晶緩衝層408可包括半導體材料,例如矽。在一些實施例中,磊晶緩衝層408可包括與底層基底406相同的材料。
在磊晶緩衝層408上方形成通道層410,並且在通道層410上方形成主動層412。通道層410包括與主動層412不同的材料。舉例而言,在一些實施例中,通道層410可包括氮化鎵(GaN),主動層412可包括氮化鋁鎵(AlGaN)。在各種實施例中,可藉助於沉積製程(例如化學氣相沉積(CVD)、電漿增強式化學氣相沉積(PE-CVD)、原子層沉積(ALD)、物理氣相沉積(PVD)等)形成通道層410及/或主動層412。在一些實施例中,通道層410可形成為小於或等於約5微米的厚度,從而以相對較低的成本來提供高品質通道層。在其他實施例中,通道層410可形成為小於或等於約10微米的厚度。在另外其他實施例中,通道層410可形成為在約5微米與約10微米之間的厚度。
如圖19的剖視圖1900中所示,在主動層316內形成多個第一隔離區208。多個第一隔離區208可包括自主動層316的頂部豎直延伸至通道層314內的摻雜區。多個第一隔離區208橫向定位於第一HEMT裝置區域902、第二HEMT裝置區域904及二極體連接的HEMT裝置區域906之間。
在一些實施例中,多個第一隔離區208藉由在主動層316上方形成第一經圖案化罩幕層1102,隨後根據第一經圖案化罩幕層1102將一或多種摻雜劑物質1104植入於主動層316中而形成。在一些實施例中,摻雜劑物質1104可足以將摻雜劑物質1104驅動至通道層314中的能量植入。在一些實施例中,可執行驅入退火以在植入後使摻雜劑物質擴散。
如圖20的剖視圖2000中所示,選擇性地蝕刻通道層410及主動層412以界定延伸穿過通道層410及主動層412的溝渠2002。溝渠2002自主動層412的頂部豎直延伸至磊晶緩衝層408。在一些實施例中,溝渠2002可延伸至磊晶緩衝層408中,使得溝渠2002的側壁分別由磊晶緩衝層408、通道層410及主動層412界定。在一些實施例中,可藉由在主動層412上方形成第二經圖案化罩幕層2004,隨後在未被第二經圖案化罩幕層2004覆蓋的區域中將通道層410及主動層412暴露至一或多種蝕刻劑2006來選擇性地蝕刻通道層410及主動層412。
如圖21的剖視圖2100中所示,在水平延伸隔離區414a上方的磊晶緩衝層408內形成一或多個豎直延伸隔離區414b。一或多個豎直延伸隔離區414b包括具有第二摻雜類型的摻雜區。水平延伸隔離區414a及一或多個豎直延伸隔離區414b共同界定配置成將第二HEMT裝置區域904與第一HEMT裝置區域902及二極體連接的HEMT裝置區域906電性隔離的隔離結構414。
在一些實施例中,一或多個豎直延伸隔離區414b可藉由根據第三經圖案化罩幕層2102選擇性地將一或多種第二摻雜劑物質2104植入於磊晶緩衝層408中而形成。在一些實施例中,一或多種第二摻雜劑物質2104可與用於形成水平延伸隔離區414a的一或多種第一摻雜劑物質(圖17的第一摻雜劑物質1704)相同。在一些實施例中,第三經圖案化罩幕層2102可包括用於界定溝渠2002的第二經圖案化罩幕層2004。在一些實施例中,一或多個豎直延伸隔離區414b可橫向延伸穿過水平延伸隔離區414a的外部側面。在一些實施例中,一或多個豎直延伸隔離區414b可在水平延伸隔離區414a的頂部下方豎直延伸。在此類實施例中,一或多個豎直延伸隔離區414b可沿第一方向及沿與第一方向垂直的第二方向接觸水平延伸隔離區414a。
如圖22的剖視圖2200中所示,在溝渠2002內形成導電材料以界定導電接觸件416。導電接觸件416豎直延伸穿過通道層410及主動層412以接觸隔離結構414。在一些實施例中,在形成導電材料之前,包括一或多種介電材料的絕緣層417可沿溝渠2002的側壁形成。在一些實施例中,絕緣層417可藉由用第三經圖案化罩幕層(圖21的第三經圖案化罩幕層2102)在適當的位置執行熱氧化製程(thermal oxidation process)而形成。熱氧化製程在磊晶緩衝層408、通道層410及/或主動層412的暴露表面上形成絕緣層(例如氧化物)。隨後可執行蝕刻製程以自磊晶緩衝層408的上表面移除絕緣層。在一些此類實施例中,絕緣層417可包括接觸磊晶緩衝層408的第一介電材料、接觸通道層410的第二介電材料及接觸主動層412的第三介電材料。
如圖23的剖視圖2300中所示,在第一HEMT裝置區域(圖21的第一HEMT裝置區域902)、第二HEMT裝置區域(圖21的第二HEMT裝置區域904)及二極體連接的HEMT裝置區域(圖21的二極體連接的HEMT裝置區域906)內的主動層412上方形成閘極結構318、源極接觸件216s及汲極接觸件216d。閘極結構318、源極接觸件216s及汲極接觸件216d定義了以下構件:第一HEMT裝置302的第一閘極G1 、第一源極S1 及第一汲極D1 ;第二HEMT裝置304的第二閘極G2 、第二源極S2 及第二汲極D2 ;以及二極體連接的HEMT裝置306的第三閘極G3 、第三源極S3 及第三汲極D3 。在一些實施例中,閘極結構318可包括半導體材料的摻雜層320及設置於半導體材料的摻雜層320上方的閘極電極322。
如圖24的剖視圖2400中所示,在主動層412上方形成的介電結構324內形成多個導電內連線層706。在一些實施例中,介電結構324可包括由多個蝕刻終止層間隔開的多個堆疊ILD層。在一些實施例中,多個導電內連線層706可包括內連線導線及內連線通孔的交替層。
在一些實施例中(未示出),多個導電內連線層706配置成將第一HEMT裝置302的第一閘極G1 電性耦接至二極體連接的HEMT裝置306的第三源極S3 及第三閘極G3 ,將第一HEMT裝置302的第一汲極D1 電性耦接至第二HEMT裝置304的第二源極S2 ,以及進一步將第二HEMT裝置304的第二閘極G2 耦接至二極體連接的HEMT裝置306的第三汲極D3 。多個導電內連線層706的電性連接使得第一HEMT裝置302、第二HEMT裝置304及二極體連接的HEMT裝置306當作具有共源極端子、共汲極端子及共閘極端子的單個高電壓裝置(於圖2中示出)。高電壓裝置的擊穿電壓大於第一HEMT裝置302或第二HEMT裝置304的擊穿電壓。
如圖25的剖視圖2500中所示,晶粒402放置於封裝內。在一些實施例中,藉助於一或多個微凸塊708,晶粒402可接合至載板基底702。隨後在載板基底702及晶粒402上方形成模製化合物704。
圖26示出形成包括具有多個HEMT裝置的串疊結構的高電壓裝置的方法2600的一些實施例的流程圖。
儘管方法2600在本文中經示出且描述為一系列動作或事件,但應瞭解,不應以限制性意義來解譯此類動作或事件的所示出次序。舉例而言,除了本文中所示出及/或描述的動作或事件之外,一些動作可與其他動作或事件以不同次序及/或同時發生。另外,可能並不需要所有所示出的動作來實施本文中描述的一或多個態樣或實施例。此外,本文中所描繪的動作中的一或多者可以一或多個單獨動作及/或階段進行。
在動作2602,提供具有第一HEMT裝置區域、第二HEMT裝置區域及二極體連接的HEMT裝置區域的基底。圖9及圖16示出對應於動作2602的一些實施例的剖視圖900及剖視圖1600。
在動作2604,在一些實施例中,可在基底上方形成磊晶緩衝層。圖18示出對應於動作2604的一些實施例的剖視圖1800。
在動作2606,在基底上方形成通道層。圖10及圖18示出對應於動作2606的一些實施例的剖視圖1000及剖視圖1800。
在動作2608,在基底上方形成主動層。圖10及圖18示出對應於動作2608的一些實施例的剖視圖1000及剖視圖1800。
在動作2610,在第一HEMT裝置區域、第二HEMT裝置區域及二極體連接的HEMT裝置區域之間的主動層及通道層內形成隔離區。圖11及圖19示出對應於動作2610的一些實施例的剖視圖1000及剖視圖1900。
在動作2612,將第一HEMT裝置區域及二極體連接的HEMT裝置區域與第二HEMT裝置區域電性隔離。圖14及圖17及圖20至圖22示出對應於動作2612的一些實施例的剖視圖。
在動作2614,在第一HEMT裝置區域內形成第一閘極結構、第一源極接觸件及第一汲極接觸件以界定第一HEMT裝置。圖12A、圖12B以及圖23示出對應於動作2614的一些實施例的剖視圖1200、剖視圖1202以及剖視圖2300。
在動作2616,在第二HEMT裝置區域內形成第二閘極結構、第二源極接觸件及第二汲極接觸件以界定第二HEMT裝置。圖12A、圖12B以及圖23示出對應於動作2616的一些實施例的剖視圖1200、剖視圖1202以及剖視圖2300。
在動作2618,在二極體連接的HEMT裝置區域內形成第三閘極結構、第三源極接觸件及第三汲極接觸件以界定二極體連接的HEMT裝置。圖12A、圖12B以及圖23示出對應於動作2618的一些實施例的剖視圖1200、剖視圖1202以及剖視圖2300。
在動作2620,形成一或多個導電層以電性耦接串聯連接的第一HEMT裝置及第二HEMT裝置,所述串聯連接定義了包括二極體連接的HEMT裝置的高電壓裝置。高電壓裝置的擊穿電壓大於第一HEMT裝置或第二HEMT裝置的擊穿電壓。圖13及圖15及圖24至圖25示出對應於動作2620的一些實施例的剖視圖。
因此,本揭露是關於包括與第二HEMT裝置串聯連接的第一HEMT裝置的高電壓裝置,從而共同地操作為等效於具有相對較大擊穿電壓(即大於第一HEMT裝置或第二HEMT裝置任一者的擊穿電壓的擊穿電壓)的單個高電壓電晶體裝置。
在一些實施例中,本揭露是關於一種半導體裝置。所述半導體裝置包括第一高電子遷移率電晶體(HEMT)裝置、第二HEMT裝置以及二極體連接的電晶體裝置,第一HEMT裝置設置於半導體結構內且具有第一源極、第一汲極及第一閘極,第二HEMT裝置設置於半導體結構內且具有耦接至第一汲極的第二源極、第二汲極及第二閘極,二極體連接的電晶體裝置設置於半導體結構內且具有第三源極、第三閘極及耦接至第二閘極的第三汲極。在一些實施例中,第一HEMT裝置為第一增強型HEMT裝置。在一些實施例中,第二HEMT裝置為第二增強型HEMT裝置,並且第三源極耦接至第一閘極且第三汲極耦接至第二閘極。在一些實施例中,第二HEMT裝置為空乏型HEMT裝置,並且第三源極耦接至第一源極且第三汲極耦接至第二閘極。在一些實施例中,第一HEMT裝置及二極體連接的電晶體裝置佈置於第一晶粒內,並且第二HEMT裝置佈置於具有最外側壁的第二晶粒內,所述最外側壁與第一晶粒的最外側壁間隔一非零距離。在一些實施例中,第一晶粒及第二晶粒分別包括基底、通道層以及主動層,基底具有第一半導體材料,通道層定位在基底上方且具有第二半導體材料,主動層定位在通道層上方且具有第三半導體材料。在一些實施例中,第一晶粒及第二晶粒分別包括氮化鎵層及氮化鋁鎵層,氮化鎵層佈置在矽基底上方,氮化鋁鎵層佈置於氮化鎵層上。在一些實施例中,氮化鎵層具有小於或等於約10微米的厚度。在一些實施例中,第一HEMT裝置、二極體連接的電晶體裝置研究第二HEMT裝置佈置於晶粒內。在一些實施例中,晶粒包括基底、氮化鎵層以及氮化鋁鎵層,基底包括具有第一摻雜類型的矽,氮化鎵層佈置在基底上方,氮化鋁鎵層接觸氮化鎵層的頂部。在一些實施例中,晶粒包括基底、磊晶緩衝層、通道層以及主動層,基底具有帶有第一摻雜類型的第一半導體材料,磊晶緩衝層設置於基底上方且具備具有第一摻雜類型的第一半導體材料,通道層定位於磊晶緩衝層上方且具有第二半導體材料,主動層定位於通道層上方且具有第三半導體材料。在一些實施例中,半導體裝置更包括具有帶有與第一摻雜類型不同的第二摻雜類型的摻雜區的隔離結構,所述摻雜區包括設置於基底內的水平延伸區段及自水平延伸區段的頂部向外突出的豎直延伸區段。在一些實施例中,半導體裝置更包括一或多個導電接觸件,所述導電接觸件延伸穿過通道層及主動層以接觸隔離結構。在一些實施例中,一或多個導電接接觸件在界面處與隔離結構物理接觸,所述界面沿著沿磊晶緩衝層的頂表面延伸的水平面設置。
在其他實施例中,本揭露是關於一種高電壓裝置。所述高電壓裝置包括半導體結構、第一高電子遷移率電晶體(HEMT)裝置、第二HEMT裝置、二極體連接的電晶體裝置以及一或多個導電層,半導體結構具有基底、基底上方的通道層以及通道層上方的主動層,第一HEMT裝置具有設置於主動層上方的第一源極、第一汲極及第一閘極,第二HEMT裝置具有設置於主動層上方的第二源極、第二汲極及第二閘極,二極體連接的電晶體裝置具有設置於主動層上方的第三源極、第三汲極及第三閘極,一或多個導電層設置於半導體結構上方且配置成將第一汲極電性耦接至第二源極且將第三汲極電性耦接至第二閘極。在一些實施例中,第一HEMT裝置及二極體連接的電晶體裝置佈置於第一晶粒內,並且第二HEMT裝置佈置於與第一晶粒間隔一非零距離的第二晶粒內。在一些實施例中,基底連續地在第一HEMT裝置、二極體連接的電晶體裝置以及第二HEMT裝置下方延伸。在一些實施例中,高電壓裝置更包括隔離結構,所述隔離結構具有設置於基底內且具有不同於基底的摻雜類型的摻雜區,摻雜區包括水平延伸區段,水平延伸區段橫向地介於自水平延伸區段的頂部向外突出的第一豎直延伸區段與自水平延伸區段的頂部向外突出的第二豎直延伸區段之間。
在其他實施例中,本揭露是關於一種形成積體晶片的方法。所述方法包括:在具有第一半導體材料的基底上方形成具有第二半導體材料的通道層;在通道層上方形成具有第三半導體材料的主動層;在主動層上方形成第一閘極結構、第一源極接觸件以及第一汲極接觸件以界定第一高電子遷移率電晶體(HEMT)裝置;在主動層上方形成第二閘極結構、第二源極接觸件以及第二汲極接觸件以界定第二HEMT裝置;以及在主動層上方形成一或多個導電層以電性耦接串聯連接的第一HEMT裝置及第二HEMT裝置,所述串聯連接定義了其擊穿電壓大於第一HEMT裝置或第二HEMT裝置的擊穿電壓的高電壓裝置。在一些實施例中,所述方法更包括:將第一摻雜劑物質選擇性地植入於基底中以在基底內形成水平延伸隔離區;在植入第一摻雜劑物質之後及在形成通道層之前,在基底上形成磊晶緩衝層;選擇性地圖案化主動層及通道層以界定延伸穿過主動層及通道層至磊晶緩衝層的溝渠;以及植入磊晶緩衝層以形成接觸水平延伸隔離區的一或多個豎直延伸隔離區,所述一或多個豎直延伸隔離區設置於第二HEMT裝置的相對側上。
前文概述若干實施例的特徵,以使得在所屬領域中具通常知識者可更好地理解本揭露的態樣。所屬領域中具通常知識者應瞭解,其可易於使用本揭露作為設計或修改用於實現本文中所引入的實施例的相同目的及/或達成相同優點的其他製程及結構的基礎。所屬領域中具通常知識者亦應認識到,此類等效構造並不脫離本揭露的精神及範疇,且所屬領域中具通常知識者可在不脫離本揭露的精神及範疇的情況下在本文中作出各種改變、替代以及更改。
100、200:高電壓裝置 101:封裝組件 102:共源極級 104、302:第一HEMT裝置 106:共閘極級 108、304、502:第二HEMT裝置 110:二極體連接的電晶體 202、312、406:基底 204:通道結構 205:二維電子氣體 206:主動結構 208:第一隔離區 210:第二隔離區 212:半導體結構 214、318、506:閘極結構 214a:下部閘極部分 214b、322:閘極電極 216d:汲極接觸件 216s:源極接觸件 218、324:介電結構 300、400、500:示意圖 306:二極體連接的HEMT裝置 308a:第一晶粒 308b:第二晶粒 310、404、504、600、900、1000、1100、1200、1202、1300、1400、1500、1600、1700、1800、1900、2000、2100、2200、2300、2400、2500:剖視圖 312a:第一基底 312b:第二基底 314、410:通道層 314a:第一通道層 314b:第二通道層 316、412:主動層 316a:第一主動層 316b:第二主動層 320:半導體材料的摻雜層 324a:第一介電結構 324b:第二介電結構 326:導電層 402:晶粒 408:磊晶緩衝層 414:隔離結構 414a:水平延伸隔離區 414b:豎直延伸隔離區 416、418:導電接觸件 417:絕緣層 508:介電層 602:晶粒墊 604:黏著層 606:導電內連線層 606a:第一多個導電內連線層 606b:第二多個導電內連線層 608:接合墊 610:鈍化層 612、704:模製化合物 614:引線框架 616:接合線 618:焊料球 620:俯視圖 700、800:封裝高電壓裝置 702:載板基底 706:導電內連線層 708:微凸塊 710:基底穿孔 712a、712b:重佈線層 714:焊料凸塊 902:第一HEMT裝置區域 904:第二HEMT裝置區域 906:二極體連接的HEMT裝置區域 1102:第一經圖案化罩幕層 1104:摻雜劑物質 1204:介電材料 1402:切割道 1702:第一罩幕層 1704:第一摻雜劑物質 2002:溝渠 2004:第二經圖案化罩幕層 2006:蝕刻劑 2102:第三經圖案化罩幕層 2104:第二摻雜劑物質 2600:方法 2602、2604、2606、2608、2610、2612、2614、2616、2618、2620:動作 A-A':線 D1:第一汲極 D2:第二汲極 D3:第三汲極 DC:共汲極端子 G1:第一閘極 G2:第二閘極 G3:第三閘極 GC:共閘極端子h1 :第一高度h2 :第二高度S:非零距離 S1:第一源極 S2:第二源極 S3:第三源極 SC:共源極端子w1 :第一寬度w2 :第二寬度
結合隨附圖式閱讀以下詳細描述會最佳地理解本揭露的態樣。應注意,根據業界中的標準慣例,各種特徵未按比例繪製。事實上,為論述清楚起見,可任意增大或減小各種特徵的尺寸。 圖1示出繪示包括具有多個高電子遷移率電晶體(high electron mobility transistor;HEMT)裝置的串疊結構的高電壓裝置的一些實施例的示意圖。 圖2示出包括具有多個HEMT裝置的串疊結構的高電壓裝置的剖視圖。 圖3A至圖5B示出包括具有多個HEMT裝置的串疊結構的高電壓裝置的一些其他實施例。 圖6A至圖8示出包括具有多個HEMT裝置的串疊結構的封裝高電壓裝置的一些實施例的剖視圖。 圖9至圖15示出形成包括具有多個HEMT裝置的串疊結構的高電壓裝置的方法的一些實施例的剖視圖。 圖16至圖25示出形成包括具有多個HEMT裝置的串疊結構的高電壓裝置的方法的一些替代性實施例的剖視圖。 圖26示出形成包括具有多個HEMT裝置的串疊結構的高電壓裝置的方法的一些實施例的流程圖。
104:第一HEMT裝置
108:第二HEMT裝置
110:二極體連接的電晶體
200:高電壓裝置
202:基底
204:通道結構
205:二維電子氣體
206:主動結構
208:第一隔離區
210:第二隔離區
212:半導體結構
214:閘極結構
214a:下部閘極部分
214b:閘極電極
216d:汲極接觸件
216s:源極接觸件
218:介電結構
D1:第一汲極
D2:第二汲極
D3:第三汲極
DC:共汲極端子
G1:第一閘極
G2:第二閘極
G3:第三閘極
GC:共閘極端子
S1:第一源極
S2:第二源極
S3:第三源極
SC:共源極端子

Claims (20)

  1. 一種半導體裝置,包括: 第一高電子遷移率電晶體裝置,設置於半導體結構內且包括第一源極、第一汲極以及第一閘極; 第二高電子遷移率電晶體裝置,設置於所述半導體結構內且包括耦接至所述第一汲極的第二源極、第二汲極以及第二閘極;以及 二極體連接的電晶體裝置,設置於所述半導體結構內且包括第三源極、第三閘極以及耦接至所述第二閘極的第三汲極。
  2. 如申請專利範圍第1項所述的半導體裝置,其中所述第一高電子遷移率電晶體裝置為第一增強型高電子遷移率電晶體裝置。
  3. 如申請專利範圍第2項所述的半導體裝置,其中 所述第二高電子遷移率電晶體裝置為第二增強型高電子遷移率電晶體裝置;以及 所述第三源極耦接至所述第一閘極,並且所述第三汲極耦接至所述第二閘極。
  4. 如申請專利範圍第2項所述的半導體裝置,其中 所述第二高電子遷移率電晶體裝置為空乏型高電子遷移率電晶體裝置;以及 所述第三源極耦接至所述第一源極,並且所述第三汲極耦接至所述第二閘極。
  5. 如申請專利範圍第1項所述的半導體裝置,其中 所述第一高電子遷移率電晶體裝置及所述二極體連接的電晶體裝置佈置於第一晶粒內;以及 所述第二高電子遷移率電晶體裝置佈置於具有最外側壁的第二晶粒內,所述最外側壁與所述第一晶粒的最外側壁間隔一非零距離。
  6. 如申請專利範圍第5項所述的半導體裝置,其中所述第一晶粒及所述第二晶粒分別包括: 基底,包括第一半導體材料; 通道層,定位於所述基底上方且包括第二半導體材料;以及 主動層,定位於所述通道層上方且包括第三半導體材料。
  7. 如申請專利範圍第5項所述的半導體裝置,其中所述第一晶粒及所述第二晶粒分別包括: 氮化鎵層,佈置於矽基底上方;以及 氮化鋁鎵層,佈置於所述氮化鎵層上。
  8. 如申請專利範圍第7項所述的半導體裝置,其中所述氮化鎵層具有小於或等於約10微米的厚度。
  9. 如申請專利範圍第1項所述的半導體裝置,其中所述第一高電子遷移率電晶體裝置、所述二極體連接的電晶體裝置以及所述第二高電子遷移率電晶體裝置佈置於晶粒內。
  10. 如申請專利範圍第9項所述的半導體裝置,其中所述晶粒包括: 基底,包括具有第一摻雜類型的矽; 氮化鎵層,佈置於所述基底上方;以及 氮化鋁鎵層,與所述氮化鎵層的頂部接觸。
  11. 如申請專利範圍第9項所述的半導體裝置,其中所述晶粒包括: 基底,包括具有第一摻雜類型的第一半導體材料; 磊晶緩衝層,設置於所述基底上方且包括具有所述第一摻雜類型的所述第一半導體材料; 通道層,定位於所述磊晶緩衝層上方且包括第二半導體材料;以及 主動層,定位於所述通道層上方且包括第三半導體材料。
  12. 如申請專利範圍第11項所述的半導體裝置,更包括: 隔離結構,包括具有與所述第一摻雜類型不同的第二摻雜類型的摻雜區,所述摻雜區包括設置於所述基底內的水平延伸區段及自所述水平延伸區段的頂部向外突出的豎直延伸區段。
  13. 如申請專利範圍第12項所述的半導體裝置,更包括: 一或多個導電接觸件,延伸穿過所述通道層及所述主動層以接觸所述隔離結構。
  14. 如申請專利範圍第13項所述的半導體裝置,其中所述一或多個導電接觸件在沿水平面設置的界面處與所述隔離結構物理接觸,所述水平面沿所述磊晶緩衝層的頂表面延伸。
  15. 一種高電壓裝置,包括: 半導體結構,包括基底、所述基底上方的通道層以及所述通道層上方的主動層; 第一高電子遷移率電晶體裝置,包括第一源極、第一汲極以及設置於所述主動層上方的第一閘極; 第二高電子遷移率電晶體裝置,包括第二源極、第二汲極以及設置於所述主動層上方的第二閘極; 二極體連接的電晶體裝置,包括第三源極、第三汲極以及設置於所述主動層上方的第三閘極;以及 一或多個導電層,設置於所述半導體結構上方,並且配置成將所述第一汲極電性耦接至所述第二源極且將所述第三汲極電性耦接至所述第二閘極。
  16. 如申請專利範圍第15項所述的高電壓裝置,其中 所述第一高電子遷移率電晶體裝置及所述二極體連接的電晶體裝置佈置於第一晶粒內;以及 所述第二高電子遷移率電晶體裝置佈置於第二晶粒內,所述第二晶粒與所述第一晶粒間隔一非零距離。
  17. 如申請專利範圍第15項所述的高電壓裝置,其中所述基底連續地在所述第一高電子遷移率電晶體裝置、所述二極體連接的電晶體裝置以及所述第二高電子遷移率電晶體裝置下方延伸。
  18. 如申請專利範圍第17項所述的高電壓裝置,更包括: 隔離結構,包括設置於所述基底內且具有與所述基底不同的摻雜類型的摻雜區,其中所述摻雜區包括水平延伸區段,所述水平延伸區段橫向介於自所述水平延伸區段的頂部向外突出的第一豎直延伸區段與自所述水平延伸區段的頂部向外突出的第二豎直延伸區段之間。
  19. 一種形成高電壓裝置的方法,包括: 在包括第一半導體材料的基底上方形成包括第二半導體材料的通道層; 在所述通道層上方形成包括第三半導體材料的主動層; 在所述主動層上方形成第一閘極結構、第一源極接觸件以及第一汲極接觸件以界定第一高電子遷移率電晶體裝置; 在所述主動層上方形成第二閘極結構、第二源極接觸件以及第二汲極接觸件以界定第二高電子遷移率電晶體裝置;以及 在所述主動層上方形成一或多個導電層以電性耦接串聯連接的所述第一高電子遷移率電晶體裝置及所述第二高電子遷移率電晶體裝置,所述串聯連接界定具有擊穿電壓的高電壓裝置,所述擊穿電壓大於所述第一高電子遷移率電晶體裝置或所述第二高電子遷移率電晶體裝置的擊穿電壓。
  20. 如申請專利範圍第19項所述的形成高電壓裝置的方法,更包括: 選擇性地將第一摻雜劑物質植入於所述基底中以在所述基底內形成水平延伸隔離區; 在植入所述第一摻雜劑物質之後及在形成所述通道層之前,在所述基底上形成磊晶緩衝層; 選擇性地圖案化所述主動層及所述通道層,以界定延伸穿過所述主動層及所述通道層至所述磊晶緩衝層的溝槽;以及 植入所述磊晶緩衝層以形成接觸所述水平延伸隔離區的一或多個豎直延伸隔離區,所述一或多個豎直延伸隔離區設置於所述第二高電子遷移率電晶體裝置的相對側上。
TW108134452A 2018-09-28 2019-09-24 半導體裝置與高電壓裝置及其形成方法 TWI734200B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201862738178P 2018-09-28 2018-09-28
US62/738,178 2018-09-28
US16/534,259 US11139290B2 (en) 2018-09-28 2019-08-07 High voltage cascode HEMT device
US16/534,259 2019-08-07

Publications (2)

Publication Number Publication Date
TW202021130A true TW202021130A (zh) 2020-06-01
TWI734200B TWI734200B (zh) 2021-07-21

Family

ID=69945193

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108134452A TWI734200B (zh) 2018-09-28 2019-09-24 半導體裝置與高電壓裝置及其形成方法

Country Status (3)

Country Link
US (1) US11139290B2 (zh)
CN (1) CN110970423B (zh)
TW (1) TWI734200B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI830409B (zh) * 2022-04-25 2024-01-21 台灣積體電路製造股份有限公司 半導體元件、半導體封裝及其製造方法

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11961888B2 (en) * 2018-08-06 2024-04-16 Macom Technology Solutions Holdings, Inc. Extrinsic field termination structures for improving reliability of high-voltage, high-power active devices
FR3100927B1 (fr) * 2019-09-12 2022-09-09 Commissariat Energie Atomique Dispositif electronique de puissance a super-jonction
JP2023520029A (ja) * 2020-04-03 2023-05-15 ウルフスピード インコーポレイテッド 裏面ソース端子、ゲート端子及び/又はドレイン端子を有するiii族窒化物ベースの高周波増幅器
CN115699326A (zh) 2020-04-03 2023-02-03 沃孚半导体公司 具有源极、栅极和/或漏极导电通孔的基于iii族氮化物的射频晶体管放大器
US11594626B2 (en) * 2021-02-05 2023-02-28 Globalfoundries U.S. Inc. Bidirectional switches with active substrate biasing
CN113690236B (zh) * 2021-06-30 2023-06-09 华灿光电(浙江)有限公司 高电子迁移率晶体管芯片及其制备方法

Family Cites Families (48)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS59168677A (ja) * 1983-03-14 1984-09-22 Fujitsu Ltd 半導体装置及びその製造方法
US5012318A (en) * 1988-09-05 1991-04-30 Nec Corporation Hybrid semiconductor device implemented by combination of heterojunction bipolar transistor and field effect transistor
EP0445756B1 (en) 1990-03-05 1995-07-12 Fujitsu Limited High voltage MOS transistor and production method thereof, and semiconductor device having high voltage MOS transistor and production method thereof
US5166083A (en) * 1991-03-28 1992-11-24 Texas Instruments Incorporated Method of integrating heterojunction bipolar transistors with heterojunction FETs and PIN diodes
JP3135939B2 (ja) * 1991-06-20 2001-02-19 富士通株式会社 Hemt型半導体装置
JP3087370B2 (ja) * 1991-09-10 2000-09-11 株式会社日立製作所 高速論理回路
JP3323544B2 (ja) * 1992-08-21 2002-09-09 株式会社日立製作所 半導体装置
US5387880A (en) * 1993-10-20 1995-02-07 Trw Inc. Compact monolithic wide band HEMT low noise amplifiers with regulated self-bias
JPH07263644A (ja) * 1994-03-17 1995-10-13 Hitachi Ltd 化合物半導体集積回路
US6043519A (en) * 1996-09-12 2000-03-28 Hughes Electronics Corporation Junction high electron mobility transistor-heterojunction bipolar transistor (JHEMT-HBT) monolithic microwave integrated circuit (MMIC) and single growth method of fabrication
SG83670A1 (en) * 1997-09-02 2001-10-16 Oki Techno Ct Singapore A bias stabilization circuit
US6747484B1 (en) * 2003-04-22 2004-06-08 Raytheon Company Radio frequency limiter circuit
US7238986B2 (en) * 2004-05-03 2007-07-03 Texas Instruments Incorporated Robust DEMOS transistors and method for making the same
US7501670B2 (en) * 2007-03-20 2009-03-10 Velox Semiconductor Corporation Cascode circuit employing a depletion-mode, GaN-based FET
WO2011100304A1 (en) 2010-02-09 2011-08-18 Massachusetts Institute Of Technology Dual-gate normally-off nitride transistors
US9263439B2 (en) * 2010-05-24 2016-02-16 Infineon Technologies Americas Corp. III-nitride switching device with an emulated diode
US8513703B2 (en) * 2010-10-20 2013-08-20 National Semiconductor Corporation Group III-nitride HEMT with multi-layered substrate having a second layer of one conductivity type touching a top surface of a first layers of different conductivity type and a method for forming the same
US8502273B2 (en) * 2010-10-20 2013-08-06 National Semiconductor Corporation Group III-nitride HEMT having a well region formed on the surface of substrate and contacted the buffer layer to increase breakdown voltage and the method for forming the same
TWI523148B (zh) * 2010-10-22 2016-02-21 國立交通大學 提升高電子遷移率電晶體元件崩潰電壓的方法
WO2012082840A1 (en) * 2010-12-15 2012-06-21 Efficient Power Conversion Corporation Semiconductor devices with back surface isolation
JP5694020B2 (ja) 2011-03-18 2015-04-01 トランスフォーム・ジャパン株式会社 トランジスタ回路
US9076853B2 (en) * 2011-03-18 2015-07-07 International Rectifie Corporation High voltage rectifier and switching circuits
US8536931B2 (en) * 2011-06-17 2013-09-17 Rf Micro Devices, Inc. BI-FET cascode power switch
KR20130004707A (ko) * 2011-07-04 2013-01-14 삼성전기주식회사 질화물 반도체 소자, 질화물 반도체 소자의 제조방법 및 질화물 반도체 파워소자
US8598937B2 (en) * 2011-10-07 2013-12-03 Transphorm Inc. High power semiconductor electronic components with increased reliability
US10290614B2 (en) 2011-12-19 2019-05-14 Intel Corporation Group III-N transistors for system on chip (SOC) architecture integrating power management and radio frequency circuits
US8680535B2 (en) * 2011-12-23 2014-03-25 Taiwan Semiconductor Manufacturing Company, Ltd. High electron mobility transistor structure with improved breakdown voltage performance
US8759939B2 (en) * 2012-01-31 2014-06-24 Infineon Technologies Dresden Gmbh Semiconductor arrangement with active drift zone
US9064722B2 (en) * 2012-03-13 2015-06-23 International Business Machines Corporation Breakdown voltage multiplying integration scheme
KR101922117B1 (ko) * 2012-08-16 2018-11-26 삼성전자주식회사 트랜지스터를 포함하는 전자소자 및 그 동작방법
US9041067B2 (en) * 2013-02-11 2015-05-26 International Rectifier Corporation Integrated half-bridge circuit with low side and high side composite switches
US20150162321A1 (en) * 2013-12-09 2015-06-11 International Rectifier Corporation Composite Power Device with ESD Protection Clamp
US11239348B2 (en) * 2014-03-17 2022-02-01 Matthew H. Kim Wafer bonded GaN monolithic integrated circuits and methods of manufacture of wafer bonded GaN monolithic integrated circuits
US9590494B1 (en) * 2014-07-17 2017-03-07 Transphorm Inc. Bridgeless power factor correction circuits
CN104183594B (zh) * 2014-08-17 2017-01-25 复旦大学 一种采用半浮栅结构的氮化镓功率器件
US9356017B1 (en) * 2015-02-05 2016-05-31 Infineon Technologies Austria Ag Switch circuit and semiconductor device
US10756084B2 (en) 2015-03-26 2020-08-25 Wen-Jang Jiang Group-III nitride semiconductor device and method for fabricating the same
US9882020B2 (en) 2015-07-24 2018-01-30 Semiconductor Components Industries, Llc Cascode configured semiconductor component
US9911756B2 (en) * 2015-08-31 2018-03-06 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device including transistor and electronic device surrounded by layer having assigned band gap to prevent electrostatic discharge damage
DE112017001488T5 (de) * 2016-03-22 2018-12-20 Semiconductor Energy Laboratory Co., Ltd. Halbleitervorrichtung und Anzeigevorrichtung, die diese umfasst
US20180026029A1 (en) * 2016-07-21 2018-01-25 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated ESD Protection Circuit for GaN Based Device
US9857818B1 (en) * 2017-03-06 2018-01-02 Peregrine Semiconductor Corporation Biasing for lower RON of LDO pass devices
US10276657B2 (en) * 2017-09-13 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Isolation structure for active devices
US10879232B2 (en) * 2017-10-13 2020-12-29 Taiwan Semiconductor Manufacturing Company Ltd. Circuit, system and method for electrostatic discharge (ESD) protection
US10630285B1 (en) * 2017-11-21 2020-04-21 Transphorm Technology, Inc. Switching circuits having drain connected ferrite beads
US10770983B2 (en) * 2018-12-06 2020-09-08 Infineon Technologies Austria Ag Circuits and methods for secondary-side rectified voltage sensing in isolated switched-mode power converters
US10700650B1 (en) * 2019-01-08 2020-06-30 Psemi Corporation Configurable wideband split LNA
US10991722B2 (en) * 2019-03-15 2021-04-27 International Business Machines Corporation Ultra low parasitic inductance integrated cascode GaN devices

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI830409B (zh) * 2022-04-25 2024-01-21 台灣積體電路製造股份有限公司 半導體元件、半導體封裝及其製造方法

Also Published As

Publication number Publication date
US11139290B2 (en) 2021-10-05
CN110970423B (zh) 2022-08-09
CN110970423A (zh) 2020-04-07
TWI734200B (zh) 2021-07-21
US20200105741A1 (en) 2020-04-02

Similar Documents

Publication Publication Date Title
TWI734200B (zh) 半導體裝置與高電壓裝置及其形成方法
US11854926B2 (en) Semiconductor device with a passivation layer and method for producing thereof
US8941217B2 (en) Semiconductor device having a through contact
US9502401B2 (en) Integrated circuit with first and second switching devices, half bridge circuit and method of manufacturing
TWI719430B (zh) 積體晶片及其形成方法
JP2011146717A (ja) 半導体ダイを形成する方法
JP2011146718A (ja) 半導体ダイを形成する方法
US10748899B2 (en) Epitaxial source and drain structures for high voltage devices
US10692988B2 (en) Semiconductor device having integrated MOS-gated or Schottky diodes
US9035320B2 (en) Semiconductor device
TW202119627A (zh) 積體晶片及用於形成高壓電晶體器件的方法
TWI650867B (zh) 半導體結構及其製造方法
US7071537B2 (en) Power device having electrodes on a top surface thereof
TW201944594A (zh) 半導體結構及其製造方法
US11908891B2 (en) High voltage device with gate extensions
TWI801924B (zh) 積體晶片及其製造方法
KR102340004B1 (ko) 고전압 캐스코드 hemt 디바이스
TWI747200B (zh) 半導體晶圓及其形成方法與集成晶片
US10686037B2 (en) Semiconductor structure with insulating substrate and fabricating method thereof
US20230343693A1 (en) Wafer-on-wafer Cascode HEMT Device
CN114503261B (zh) 氮化物基半导体电路及其制造方法
US20240105504A1 (en) Semiconductor device and method of manufacturing the same
TWI765327B (zh) 積體電路及其形成方法、半導體封裝的形成方法
KR20240005605A (ko) 와이드-밴드갭 반도체 디바이스를 위한 3d 반도체 구조물
CN116631994A (zh) 半导体结构、封装件及其形成方法