CN116631994A - 半导体结构、封装件及其形成方法 - Google Patents

半导体结构、封装件及其形成方法 Download PDF

Info

Publication number
CN116631994A
CN116631994A CN202310275974.XA CN202310275974A CN116631994A CN 116631994 A CN116631994 A CN 116631994A CN 202310275974 A CN202310275974 A CN 202310275974A CN 116631994 A CN116631994 A CN 116631994A
Authority
CN
China
Prior art keywords
hemt device
gate
semiconductor
electron mobility
high electron
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202310275974.XA
Other languages
English (en)
Inventor
吴浩昀
吴成堡
余俊磊
蔡俊琳
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US17/816,525 external-priority patent/US20230343693A1/en
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN116631994A publication Critical patent/CN116631994A/zh
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/16Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof the devices being of types provided for in two or more different main groups of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. forming hybrid circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76895Local interconnects; Local pads, as exemplified by patent document EP0896365
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/481Internal lead connections, e.g. via connections, feedthrough structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/03Manufacturing methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/11Manufacturing methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L24/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L24/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/023Redistribution layers [RDL] for bonding areas
    • H01L2224/0231Manufacturing methods of the redistribution layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/023Redistribution layers [RDL] for bonding areas
    • H01L2224/0233Structure of the redistribution layers
    • H01L2224/02331Multilayer structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/023Redistribution layers [RDL] for bonding areas
    • H01L2224/0233Structure of the redistribution layers
    • H01L2224/02333Structure of the redistribution layers being a bump
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/023Redistribution layers [RDL] for bonding areas
    • H01L2224/0237Disposition of the redistribution layers
    • H01L2224/02371Disposition of the redistribution layers connecting the bonding area on a surface of the semiconductor or solid-state body with another surface of the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/023Redistribution layers [RDL] for bonding areas
    • H01L2224/0237Disposition of the redistribution layers
    • H01L2224/02381Side view
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16135Disposition the bump connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/16145Disposition the bump connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • H01L2224/16148Disposition the bump connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked the bump connector connecting to a bonding area protruding from the surface

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Junction Field-Effect Transistors (AREA)

Abstract

本申请的实施例涉及半导体结构、封装件及其形成方法。一种半导体器件包括第一半导体结构,该第一半导体结构包括第一高电子迁移率晶体管(HEMT)器件,其中第一HEMT器件包括第一栅极、第一源极和第一漏极;第二半导体结构堆叠在第一半导体结构之上并接合到第一半导体结构,其中第二半导体结构包括第二HEMT器件和第三HEMT器件,第二HEMT器件包括第二栅极、第二源极和电连接到第一源极的第二漏极,其中第三HEMT器件包括第三栅极、第三源极和电连接到第一栅极的第三漏极。

Description

半导体结构、封装件及其形成方法
技术领域
本申请的实施例涉及半导体结构、封装件及其形成方法。
背景技术
现代集成芯片包括数百万或数十亿个形成在半导体衬底(例如硅)上的半导体器件。取决于IC的应用,集成芯片(IC)可以使用许多不同类型的晶体管器件。近年来,蜂窝和RF(射频)器件市场的不断增长导致高压晶体管器件的使用显著增加。例如,高压晶体管器件通常用于射频发射/接收链中的功率放大器,因为它们能够处理高击穿电压(例如,大于约50V)和高频率。
发明内容
根据本申请的实施例的一个方面,提供了一种半导体器件,包括:第一半导体结构,包括第一高电子迁移率晶体管(HEMT)器件,其中,第一HEMT器件包括第一栅极、第一源极和第一漏极;以及第二半导体结构,堆叠在第一半导体结构之上并且接合到第一半导体结构,其中,第二半导体结构包括第二HEMT器件和第三HEMT器件,其中,第二HEMT器件包括第二栅极、第二源极和电连接到第一源极的第二漏极,其中,第三HEMT器件包括第三栅极、第三源极和电连接到第一栅极的第三漏极。
根据本申请的实施例的另一个方面,提供了一种封装件,包括:第一半导体结构,包括形成在第一衬底上的第一高电子迁移率晶体管(HEMT)器件;第二半导体结构,包括形成在第二衬底上的第二HEMT器件和第三HEMT器件,其中,第二半导体结构附接到第一半导体结构,其中,第一HEMT器件、第二HEMT器件和第三HEMT器件以共源共栅配置连接;第一导电部件,位于第一衬底上,其中,第一导电部件穿透第一衬底以电连接到第一HEMT器件;第二导电部件,位于第二衬底上,其中,第二导电部件穿透第二衬底以电连接到第二HEMT器件;以及第三导电部件,位于第二衬底上,其中,第三导电部件穿透第二衬底以电连接到第二HEMT器件。
根据本申请的实施例的又一个方面,提供了一种形成封装件的方法,包括:在第一半导体衬底上形成第一高电子迁移率晶体管(HEMT)器件;在第一半导体衬底上方形成第一互连结构,其中,第一互连结构电连接到第一HEMT器件;在第二半导体衬底上形成第二HEMT器件和第三HEMT器件;在第二半导体衬底上方形成第二互连结构,其中,第二互连结构将第二HEMT器件电连接到第三HEMT器件;以及将第一互连结构接合到第二互连结构,其中,接合将第一HEMT器件电连接到第二HEMT器件并且将第一HEMT器件电连接到第三HEMT器件。
附图说明
当结合附图进行阅读时,从以下详细描述可最佳理解本发明的各个方面。应该强调,根据工业中的标准实践,各个部件未按比例绘制并且仅用于说明的目的。实际上,为了清楚的讨论,各个部件的尺寸可以任意地增大或减小。
图1示出了根据一些实施例的共源共栅高电子迁移率晶体管(HEMT)器件的示意图。
图2示出了根据一些实施例的共源共栅HEMT器件的示意性截面图。
图3A、图3B、图4A、图4B、图5A、图5B、图6A、图6B、图7A、图7B、图8A、图8B、图9A和图9B示出了根据一些实施例的形成共源共栅HEMT器件的第一半导体结构和第二半导体结构中的中间步骤的截面图。
图10和图11示出了根据一些实施例的共源共栅HEMT器件的形成中的中间步骤。
图12A和图12B示出了根据一些实施例的封装的共源共栅HEMT器件的截面图和平面图。
图13示出了根据一些实施例的共源共栅高电子迁移率晶体管(HEMT)器件的示意图。
图14示出了根据一些实施例的共源共栅HEMT器件的示意性截面图。
图15A、图15B、图16A和图16B示出了根据一些实施例的形成共源共栅HEMT器件的第一半导体结构和第二半导体结构的中间步骤的截面图。
图17示出了根据一些实施例的共源共栅HEMT器件的形成中的中间步骤。
具体实施方式
以下公开内容提供了许多用于实现本发明的不同特征不同的实施例或实例。下面描述了组件和布置的具体实施例或实例以简化本发明。当然,这些仅是实例而不旨在限制。例如,在以下描述中,在第二部件上方或者上形成第一部件可以包括第一部件和第二部件直接接触形成的实施例,并且也可以包括在第一部件和第二部件之间可以形成额外的部件,从而使得第一部件和第二部件可以不直接接触的实施例。此外,本发明可以在各个示例中重复参考数字和/或字母。该重复是为了简单和清楚的目的,并且其本身不指示讨论的各个实施例和/或配置之间的关系。
此外,为了便于描述,本文中可以使用诸如“在…下方”、“在…下面”、“下部”、“在…上面”、“上部”等的空间关系术语,以描述如图中所示的一个元件或部件与另一元件或部件的关系。除了图中所示的方位外,空间关系术语旨在包括器件在使用或操作工艺中的不同方位。装置可以以其它方式定位(旋转90度或在其它方位),并且在本文中使用的空间关系描述符可以同样地作相应地解释。
在本公开中,描述了包括高电子迁移率晶体管(HEMT)器件的封装件及其形成的各个方面。在一些实施例中,HEMT器件以共源共栅配置(例如,“共源共栅HEMT器件”)连接。在一些情况下,如本文所描述的共源共栅HEMT器件可以提供与具有相对大击穿电压的单个高压晶体管器件类似的功能。例如,在共源共栅配置中包括HEMT器件的电路可以具有有效击穿电压,有效击穿电压大于电路内任何单个HEMT器件的击穿电压。以这种方式,在如本文所描述的共源共栅配置中使用HEMT器件可以允许更大的击穿电压而不增加单独的HEMT器件的层厚度。例如,本文描述的实施例可以允许共源共栅HEMT器件具有约1200V或更高的击穿电压。
在一些实施例中,通过在两个晶圆中形成HEMT器件然后将晶圆接合在一起以电耦接HEMT器件,来形成包括共源共栅HEMT器件的管芯或封装件。在一些情况下,接合晶圆以形成共源共栅HEMT器件可以减小包括共源共栅HEMT器件的管芯或封装件的面积,因为HEMT器件是垂直布置的而不是水平布置的。此外,如本文所描述的,接合晶圆以形成共源共栅HEMT器件可以减少所需的导电布线的长度或数量,这可以减少电阻或寄生电感。此外,如本文所描述的共源共栅HEMT器件允许从顶侧和底侧散热,这可以改进热行为。以这种方式,可以提高共源共栅HEMT器件的效率、速度、热性能和功耗。
图1示出了根据一些实施例的共源共栅高电子迁移率晶体管(HEMT)器件100的示意图。共源共栅HEMT器件100包括具有第一源极S1、第一漏极D1和第一栅极G1的第一HEMT器件112;具有第二源极S2、第二漏极D2和第二栅极G2的第二HEMT器件114;具有第三源极S3、第三漏极D3和第三栅极G3的第三HEMT器件116。第一HEMT器件112和第二HEMT器件114以共源共栅配置连接。例如,第一HEMT器件112可以被认为是公共栅极阶并且第二HEMT器件114可以被认为是公共源极阶,其中第一源极S1耦接到第二漏极D2。第三HEMT器件116为二极管连接配置,并耦接到第一HEMT器件112和第二HEMT器件114。例如,第三栅极G3耦接到第三源极S3,第三漏极D3耦接到第一栅极G1,第三源极S3耦接第二源极S2。第三HEMT器件116可以被配置为例如保护第二HEMT器件114免受可能导致损坏的高电压(例如,第一漏极D1和第一栅极G1之间的高电压)。
在一些实施例中,第一HEMT器件112可以是耗尽型器件(即,常开器件),第二HEMT器件114可以是增强型器件(即,常关器件),第三HEMT器件116可以是增强型HEMT器件。HEMT器件112、114或116可以具有类似的相应击穿电压或不同的相应击穿电压。例如,在一些实施例中,第一HEMT器件112可以具有比第二HEMT器件114更大的击穿电压。其他配置是可能的。
将第一HEMT器件112和第二HEMT器件114耦接成共源共栅配置允许共源共栅HEMT器件100以类似于单个高压晶体管器件的方式操作。例如,第一HEMT器件112和第二HEMT器件114被配置为共同形成共源共栅HEMT器件100的公共源极(SC)端子110S、共源共栅HEMT器件100的公共漏极(DC)端子110D、以及共源共栅HEMT器件100的公共栅极(GC)端子110G。共源共栅HEMT器件100具有大于第一HEMT器件112或第二HEMT器件114各自的击穿电压的击穿电压。例如,在一些实施例中,第一HEMT器件112和第二HEMT器件114可以各自具有约650V的击穿电压,而共源共栅HEMT器件100可以具有约1200V的击穿电压。其他击穿电压是可能的。通过使用第一HEMT器件112和第二HEMT器件114作为本文所描述的单个高电压器件操作,共源共栅HEMT器件100能够在不使用具有单独高击穿电压的HEMT器件的情况下实现高击穿电压,这可以减小高压器件的尺寸或成本。
在一些实施例中,各种HEMT器件可以形成在单独的衬底上,并连接在一起以将各种HEMT器件耦接到单个共源共栅HEMT器件100。例如,如图1所示,第一HEMT器件112可以形成在第一半导体结构200中,并且第二HEMT器件114和第三HEMT器件116都可以形成在单独的第二半导体结构300中。第一半导体结构200和第二半导体结构300可以形成在单独的晶圆或单独的衬底上,下面更详细地描述。HEMT器件或半导体结构的其他配置是可能的。
图2示出了根据一些实施例的共源共栅HEMT器件100的示意性截面图。图2中所示的共源共栅HEMT器件100可以类似于图1中所示的共源共栅HEMT器件100。应当理解,图2的截面图是出于说明性目的而示出的示意图,并且可能不代表器件内一些组件的尺寸和/或形状。此外,一些部件可能仅示意性地显示或者可能不显示。
如图2所示,共源共栅HEMT器件100可以包括形成在第一半导体结构200中的第一HEMT器件112,第一HEMT器件112连接到形成在第二半导体结构300中的第二HEMT器件114和第三HEMT器件116。在一些实施例中,第一半导体结构200可以形成在第一晶圆201上,第一晶圆201包括衬底202、衬底202上方的沟道层204和沟道层204上方的有源层206;并且第二半导体结构300可以形成在第二晶圆301上,第二晶圆301包括衬底302、衬底302上方的沟道层304和沟道层304上方的有源层306。衬底202和衬底302可以是分离的衬底,因此第一晶圆201和第二晶圆301可以是分离的晶圆。在一些实施例中,第一半导体结构200和第二半导体结构300包括随后被分割的不同器件区域。例如,第一半导体结构200和/或第二半导体结构300可以在将第一半导体结构200接合到第二半导体结构300之前或之后被单片化(见图10)。
衬底202和/或衬底302可以是半导体衬底,诸如体半导体、绝缘体上半导体(SOI)衬底等,其可以是掺杂的(例如,用p-型或n型掺杂剂)或未掺杂的。衬底202和/或衬底302可以是晶圆,诸如硅晶圆。通常,SOI衬底是形成在绝缘层上的半导体材料层。绝缘层可以是例如掩埋氧化物(BOX)层、氧化硅层等。绝缘层设置在衬底上,通常是硅衬底或玻璃衬底。也可以使用其他衬底,诸如多层衬底或梯度衬底。在一些实施例中,衬底202和/或衬底302的半导体材料可以包括:硅;锗;化合物半导体,包括碳化硅、砷化镓、磷化镓、磷化铟、砷化铟和/或锑化铟;合金半导体,包括硅锗、磷化砷化镓、砷化铝铟、砷化铝镓、砷化镓铟、磷化镓铟和/或磷化砷化镓铟;或其组合。
沟道层204和有源层206可以包括具有不同带隙的不同半导体材料,使得在沟道层204和有源层206之间形成异质结。例如,沟道层204的半导体材料可以具有带隙小于有源层206的半导体材料。通过将电子限制在沿沟道层204和有源层206之间的界面的量子阱中,异质结形成二维电子气(2DEG)。例如,沟道层204可以包括氮化镓(GaN)等,有源层206可以包括氮化铝镓(AlGaN)等。类似地,沟道层304和有源层306可以包括形成异质结的半导体材料。第一晶圆201的半导体材料可以与第二晶圆301的半导体材料类似或不同。
在一些情况下,HEMT器件的击穿电压取决于其沟道层的厚度。例如,具有较厚沟道层的HEMT器件可能具有相应较大的击穿电压。然而,在一些情况下,形成厚沟道层(例如,具有约5μm或更大的厚度)可能会增加制造成本或可能导致沟道层具有更高浓度的缺陷。如本文所描述的,耦接第一HEMT器件112和第二HEMT器件114以形成共源共栅HEMT器件100可以允许HEMT器件112/114形成有相对薄的沟道层204/304(例如,具有约5μm的厚度或更小),同时仍然实现共源共栅HEMT器件100的高击穿电压。
其他半导体材料也是可能的。例如,在其他实施例中,沟道层204/304和有源层206/306可以包括III-V半导体材料,诸如砷化镓(GaAs)、锑化镓(GaSb)等。在一些实施例(未示出)中,缓冲层可以设置在沟道层204/304和对应的有源层206/306之间以减少晶格失配的影响。在一些实施例中,缓冲层可以包括氮化铝(AlN)等,但其他材料也是可能的。
仍然参考图2,根据一些实施例,一个或多个隔离区208可以形成在第一晶圆201中,并且一个或多个隔离区308可以形成在第二晶圆301中。隔离区208/308可以为HEMT器件112/114/116提供电隔离。例如,在一些实施例中,第二晶圆301中的隔离区308可以提供第二HEMT器件114和第三HEMT器件116之间的电隔离。在一些实施例中,隔离区208设置在沟道层204和有源层206内,并且隔离区308设置在沟道层304和有源层306内。在一些实施例中,隔离区208/308可以包括掺杂区(例如,具有氟掺杂剂、氧掺杂剂等)。在其他实施例中,隔离区208/308可以包括绝缘材料(例如,介电材料等)。在一些情况下,隔离区208/308可以是浅沟槽隔离(STI)结构等。
第一HEMT器件112、第二HEMT器件114和第三HEMT器件116各自分别包括源极接触件、漏极接触件和栅极接触件。每个栅极接触件形成在相应的栅极结构上,栅极结构设置在源极和漏极接触件之间。例如,第一HEMT器件112具有对应于第一源极S1的源极接触件212S、对应于第一漏极D1的漏极接触件212D、以及共同对应于第一栅极G1的栅极结构213上方的栅极接触件212G;第二HEMT器件114具有对应于第二源极S2的源极接触件314S、对应于第二漏极D2的漏极接触件314D、以及共同对应于第二栅极G2的栅极结构315上方的栅极接触件314G;第三HEMT器件116具有对应于第三源极S3的源极接触件316S、对应于第三漏极D3的漏极接触件316D、以及共同对应于第三栅极G3的栅极结构317上方的栅极接触件316G。在一些实施例中,从HEMT器件112/114/116的栅极到漏极的距离可以在约15μm到约20μm的范围内,但是其他距离也是可能的。
在一些实施例中,每个HEMT器件112/114/116的栅极结构(例如,213、315或317)包括一层或多层介电材料和/或半导体材料。例如,栅极结构可以包括一层或多层介电材料,例如氧化物、氮化物等,或者可以包括一层或多层半导体材料,诸如氮化镓(例如,p掺杂GaN)等。在一些实施例中,栅电极形成在栅极结构上方。栅电极可以包括金属(例如铝、钛、铜、钨、钽等)、掺杂的多晶硅等或其组合。在一些实施例中,栅极接触件(例如,212G、314G或316G)可以用作栅电极。HEMT器件112/114/116的栅极结构213/315/317可以类似或不同。作为示例,对于其中第二HEMT器件114和第三HEMT器件116是增强型器件的实施例,第二HEMT器件114的栅极结构315和第三HEMT器件116的栅极结构317可以各自包括掺杂的半导体材料层。对于第一HEMT器件112是耗尽型器件的实施例,第一HEMT器件112的栅极结构213可以包括介电层。在其他实施例中,三个栅极结构213/315/317中的每个可以包括半导体材料的掺杂层。其他组合也是可能的。
在一些实施例中,第一半导体结构200包括在第一晶圆201上方的第一互连结构220,并且第二半导体结构300包括在第二晶圆301上方的第二互连结构320。互连结构220/320可以各自包括多层介电材料和多层导电部件。导电部件可以包括例如金属化图案、重分布层、导电线、导电通孔、互连层、金属布线等。第一互连结构220覆盖第一晶圆201的有源层206,并提供与第一源极S1的源极接触件212S、第一漏极D1的漏极接触件212D和第一栅极G1的栅极接触件212G的电连接。第二互连结构320覆盖第二晶圆301的有源层306,并提供与第二源极S2的源极接触件314S、第二漏极S2的漏极接触件314D、第二栅极G2的栅极接触件314G、第三源极S3的源极接触件316S、第三漏极D3的漏极接触件316D和第三栅极G3的栅极接触件316G的电连接。
图3A到图11示出了根据一些实施例的形成共源共栅HEMT器件100(见图11)的中间步骤的截面图。共源共栅HEMT器件100可以类似于图1所示的共源共栅HEMT器件100或图2所示的共源共栅HEMT器件100。例如,共源共栅HEMT器件100可以包括形成在第一半导体结构200中的第一HEMT器件112,第一HEMT器件112电连接到形成在第二半导体结构300中的第二HEMT器件114和第三HEMT器件116。图3A、图4A、图5A、图6A、图7A、图8A和图9A示出了中间步骤的截面图,并且图3B、图4B、图5B、图6B、图7B、图8B和图9B示出了根据一些实施例的在形成第二半导体结构300中的中间步骤的截面图。图10和图11示出了根据一些实施例的在第一半导体结构200接合到第二半导体结构300之后形成共源共栅HEMT器件100的中间步骤的截面图。
第一半导体结构200和第二半导体结构300可以使用单独的工艺步骤分开形成,但是在一些情况下,第一半导体结构200和第二半导体结构300的形成可以共享一些相同的工艺步骤。图3A-图11中描述的用于形成共源共栅HEMT器件100的工艺是非限制性示例,并且用于形成共源共栅HEMT器件100的其他工艺步骤是可能的。此外,图3A-图11中所示的截面图旨在作为说明性示例,并且部件的布置、配置或尺寸在其他实施例中可以不同。例如,在其他实施例中,图3A-图11的截面图中所示的一些部件可能不会由单个截面图显示。换言之,在其他实施例中,一些部件可以形成在与图3A-图11所示不同的截面中。通过如本文所描述在接合的半导体结构200/300中形成HEMT器件112/114/116,可以减小共源共栅HEMT器件100的面积并且可以改进HEMT器件112/114/116之间的电连接。
图3A和图3B分别示出了根据一些实施例的第一晶圆201和第二晶圆301的截面图。第一晶圆201和第二晶圆301可以类似于之前针对图2描述的第一晶圆201和第二晶圆301。例如,第一晶圆201可以包括形成在衬底202上的沟道层204和有源层206;第二晶圆301可以包括形成在衬底302上的沟道层304和有源层306。第一HEMT器件112随后形成在第一晶圆201上,图3A表示第一晶圆201的其中形成第一HEMT器件112的大致区域。随后在第二晶圆301上形成第二HEMT器件114和第三HEMT器件116,图3B示出了第二晶圆301的形成第二HEMT器件114和第三HEMT器件116的大致区域。第一晶圆201和第二晶圆301的各层的材料或厚度可以类似或不同。各个层的特性可以取决于随后形成的HEMT器件112/114/116的特性。可以使用合适的技术形成第一晶圆201和第二晶圆301的各个层,这些技术可以包括例如化学气相沉积(CVD)、等离子体增强化学气相沉积(PECVD)、原子层沉积(ALD)、物理气相沉积(PVD)等。
转向图4A和图4B,根据一些实施例,隔离区208可以形成在第一晶圆201中并且隔离区308可以形成在第二晶圆301中。例如,隔离区可以是从有源层的顶面延伸到沟道层内的掺杂区。例如,隔离区208可以穿透有源层206且可以部分地或完全地延伸穿过沟道层204,并且隔离区308可以穿透有源层306且可以部分地或完全地延伸穿过沟道层304。隔离区可以部分地或完全地围绕随后形成的HEMT器件112/114/116以提供电隔离。例如,一个或多个隔离区308可以横向定位在第二HEMT器件114和第三HEMT器件116之间。
在一些实施例中,可以通过分别在有源层206/306上方形成图案化掩模209/309并执行注入工艺211/311来形成隔离区208/308。例如,可以使用合适的光刻工艺和材料在有源层206上方形成图案化掩模209以及在有源层306上方形成图案化掩模309。在一些实施例中,每个图案化掩模209/309可以通过使用合适的技术沉积形成掩模材料(例如,硬掩模材料、光刻胶材料等),然后使用合适的光刻技术在掩模材料中图案化开口来形成。每个图案化掩模209/309中的开口暴露对应于隔离区208/308的位置的有源层206/306的部分。然后可以执行注入工艺211/311以将掺杂剂注入到有源层206/306的暴露部分中。在一些实施例中,掺杂剂可以包括氧、氟等,或其组合。在一些实施例中,注入工艺211/311可以具有足够的能量以驱动掺杂剂进入沟道层204/304。在一些实施例中,可以在执行注入工艺211/311之后执行驱入退火工艺以扩散掺杂剂。在执行注入工艺211/311之后,可以使用合适的灰化工艺、蚀刻工艺等去除图案化掩模209/309。用于形成隔离区208/308的其他掺杂剂、工艺步骤或技术是可能的。
在图5A和图5B中,根据一些实施例,形成HEMT器件的源极接触件、漏极接触件、栅极结构和栅极接触件。第一HEMT器件112的源极接触件212S、漏极接触件212D、栅极结构213和栅极接触件212G可以形成在第一晶圆201上。作为示例,在一些实施例中,第一HEMT器件112的栅极结构213通过在第一晶圆201的有源层206上沉积栅极结构材料,然后图案化栅极结构材料以形成栅极结构213来形成。在一些实施例中,用于栅极结构213的栅极结构材料可以是例如使用合适的技术沉积的介电层。然后可以使用合适的光刻和蚀刻技术对栅极结构材料进行图案化,栅极结构材料的剩余部分形成栅极结构213。在其他实施例中,可以通过首先在有源层206上方形成图案化掩模,然后在图案化掩模和有源层206的暴露部分上沉积栅极结构材料来形成栅极结构213。
根据一些实施例,在形成栅极结构213之后,可以沉积和图案化导电材料以形成源极接触件212S、漏极接触件212D和栅极接触件212G。导电材料可包括金属(例如铝、钛、铜、钨、钽等)、掺杂的多晶硅等或其组合。可以使用诸如CVD、PECVD、ALD、PVD、镀覆等的合适技术将导电材料沉积在有源层206和栅极结构213上方。在沉积导电材料之后,可以使用合适的光刻和蚀刻技术对导电材料进行图案化。例如,可以在导电材料上沉积光刻胶并图案化,其中图案对应于源极接触件212S、漏极接触件212D和栅极接触件212G。然后可以执行合适的蚀刻工艺(例如,湿蚀刻和/或干蚀刻)。在执行蚀刻工艺之后,有源层206上的导电材料的剩余部分形成源极接触件212S和漏极接触件212D,而栅极结构213上的导电材料的剩余部分形成栅极接触件212G。这是示例,其他材料或技术也是可能的。例如,在其他实施例中,第一互连结构220的介电层可以沉积在有源层206和栅极结构213上方并被图案化以在其中形成开口,然后可以将导电材料沉积到开口中。栅极接触件212G及其下方的栅极结构213可以具有相同的宽度(例如,可以具有相连的侧壁)或可以具有不同的宽度。在一些实施例中,形成源极接触件212S、漏极接触件212D和栅极接触件212G的工艺步骤也形成一个或多个密封环结构210的部分,下文针对图6A更详细地描述。
第二HEMT器件114的源极接触件314S、漏极接触件314D、栅极结构315和栅极接触件314G以及第三HEMT器件116的源极接触件316S、漏极接触件316D、栅极结构317和栅极接触件316G可以形成在第二晶圆301上。在一些实施例中,第二HEMT器件114和第三HEMT器件116的接触件和栅极结构是使用与用于形成第一HEMT器件112的接触件和栅极结构类似的一些材料和/或技术形成。例如,在一些实施例中,可以通过在第二晶圆301的有源层306上方沉积栅极结构材料,然后使用合适的光刻和蚀刻技术图案化栅极结构材料,来形成第二HEMT器件114的栅极结构315和第三HEMT器件116的栅极结构317。在一些实施例中,用于栅极结构315和栅极结构317的栅极结构材料可以是例如使用合适技术沉积的半导体材料。
在形成栅极结构315/317之后,可以例如通过在有源层306和栅极结构315/317上方沉积导电材料,然后使用合适的光刻和蚀刻技术图案化导电材料,来形成源极接触件314S/316S、漏极接触件314D/316D和栅极接触件314G/316G。有源层306上的导电材料的剩余部分形成源极接触件314S/316S和漏极接触件314D/316D,栅极结构315上的导电材料的剩余部分形成栅极接触件314G,栅极结构317上的导电材料的剩余部分形成栅极接触件316G。这是示例,其他材料或技术也是可能的。栅极接触件314G/316G和相应的下面的栅极结构315/317可以具有相同的宽度(例如,可以具有相连的侧壁)或可以具有不同的宽度。在一些实施例中,形成源极接触件314S/316S、漏极接触件314D/316D和栅极接触件314G/316G的工艺步骤也形成一个或多个密封环结构310的部分,下面针对图6B更详细地描述。
在图6A和图6B中,根据一些实施例,第一互连结构220形成在第一晶圆201上方并且第二互连结构320形成在第二晶圆301上方。互连结构220/320各自包括一层或多层介电层和一层或多层导电部件(例如,金属化图案等)。例如,第一互连结构220可以包括形成在介电层221中的诸如导电线222、导电通孔223、导电焊盘224等的导电部件,并且第二互连结构320可以包括形成在介电层321中的诸如导电线322、导电通孔323、导电焊盘324等的导电部件。互连结构220/320的导电部件还可以包括密封环结构210/310,下面更详细地描述。图6A-图6B中所示的互连结构220/320是示例,并且在其他实施例中互连结构220/320可以具有其他数量、配置或布置的导电部件或介电层221/321。
互连结构220/320的介电层221/321可以是例如层间介电(ILD)层和/或金属间介电(IMD)层。在一些实施例中,介电层221/321由介电材料(其可以是低k介电材料)形成,诸如磷硅玻璃(PSG)、硼磷硅玻璃(BPSG)、氟硅玻璃(FSG)、氧化硅、氮化硅、碳氧化硅、硅碳、旋涂玻璃(SOG)、聚合物、模塑料、其组合等。介电层221/321可以使用任何合适的技术形成,诸如旋涂、CVD、PECVD、ALD等。
在一些实施例中,蚀刻停止层(未示出)可以形成在介电层221/321的相邻介电层之间(例如,“夹在中间”)。蚀刻停止层可以是由相比于相邻层(例如,上层和下层介电层)具有不同的蚀刻选择性的介电材料形成。在一些实施例中,蚀刻停止层由诸如氮化硅、碳氮化硅、碳氧化硅、氧化钛、氧化钽等或其组合的材料形成。蚀刻停止层可以使用合适的技术形成,诸如CVD、PECVD、ALD等。
互连结构220/320内的导电线222/322和导电通孔223/323提供与HEMT器件112/114/116的电连接。如图6A所示,第一互连结构220的导电线222和导电通孔223与第一HEMT112的源极接触件212S、漏极接触件212D和栅极接触件212G电连接。如图6B所示,第二互连结构320的导电线322和导电通孔323与第二HEMT 114的源极接触件314S、漏极接触件314D和栅极接触件314G以及与第三HEMT器件116的源极接触件316S、漏极接触件316D和栅极接触件316G电连接。如图6B所示,第二互连结构320中的导电线322和导电通孔323还互连第二HEMT器件114和第三HEMT器件116。例如,第二HEMT器件114的源极接触件314S电连接到源极接触件316S以及第三HEMT器件116的栅极接触件316G。
导电焊盘224/324是在每个互连结构220/320的顶面处的导电部件,各自电连接到互连结构220/320的导电线222/322和/或导电通孔223/323。导电焊盘224/324允许对互连结构220/320进行电连接,例如第一互连结构220和第二互连结构320(见图10)之间的电连接或与导电焊盘224/324的电连接(见图9A-图9B)。第一互连结构220例如包括电连接到源极接触件212S的导电焊盘224S1;电连接到漏极接触件212D的导电焊盘224D1;以及电连接到栅极接触件212G的导电焊盘224G1。第二互连结构320例如包括电连接到漏极接触件314D的导电焊盘324D2;电连接到栅极接触件314G的导电焊盘324G2;电连接到漏极接触件316D的导电焊盘324D3;以及电连接到源极接触件314S、源极接触件316S和栅极接触件316G的导电焊盘324S2。导电焊盘224/324的其他配置是可能的。
导电部件(例如,导电线、导电通孔、导电焊盘等)可以使用任何合适的工艺形成,诸如镶嵌工艺、双镶嵌工艺等。在一些实施例中,导电部件包括形成在衬垫上的导电材料。衬垫可以是例如阻挡层、粘合层等。衬垫可以包括例如钽、氮化钽、钛、氮化钛、钴钨等或其组合。导电材料可以包括诸如铜、钨、铝、银、其组合等的导电材料。其他材料或形成技术是可能的。
在一些实施例中,密封环结构210/310形成在互连结构220/320中。例如,可以形成密封环结构210/310以保护半导体结构200/300内的部件免受水、化学品、残留物和/或在处理期间可能存在的其他污染物的影响。在一些情况下,密封环结构210/310可以沿着互连结构220/320的外围或边缘形成。在一些实施例中,密封环结构210/310可以是连续结构。例如,密封环结构210可以围绕第一半导体结构200的第一HEMT器件112、导电线222、导电通孔223和/或导电焊盘224;并且密封环结构310可以围绕第二半导体结构300的第二HEMT器件114、第三HEMT器件116、导电线322、导电通孔323和/或导电焊盘324。在一些实施例中,密封环结构210/310使用与形成接触件212/314/316、导电线222/322、导电通孔223/323和/或导电焊盘224/324相同的工艺步骤来形成。在一些实施例中,密封环结构210/310与互连结构220/320的一些或所有其他导电部件电隔离。图6A-图6B中所示的密封环结构210/310是示例,并且密封环结构210/310在其他实施例中可以具有其他数量、配置或布置。
图7A-图7B、图8A-图8B和图9A-图9B示出了根据一些实施例的形成密封环结构227/327和接触结构228/328的中间步骤。根据一些实施例,类似于上述密封环结构210/310,密封环结构227/327可以形成为围绕和保护半导体结构200/300内的部件。在一些实施例中,密封环结构227/327可以沿着半导体结构200/300的外围或边缘形成,并且可以是连续结构。除了密封环结构210/310之外可以形成密封环结构227/327,或者可以替代密封环结构210/310形成密封环结构227/327,并且密封环结构227/327可以形成在密封环结构210/310的内侧或外侧。在一些情况下,密封环结构210和227一起或密封环结构310和327一起可以被称为“密封环”。在一些实施例中,可以形成接触结构228/328以允许通过衬底202/302与HEMT器件112/114/116进行电连接。例如,在图9B所示的实施例中,接触件结构328S/328G被形成为提供从引线连接器332到接触件324S/324G的电连接(见图11)。在一些实施例中,可以形成接触结构以提供到衬底的电连接。例如,在图9A所示的实施例中,形成接触结构228S以提供源极接触件212S和衬底202之间的电连接。密封环结构227/327或接触结构228/328的其他尺寸、布置或配置在其他实施例中是可能的。
在图7A和图7B中,根据一些实施例,在第一半导体结构200中形成开口225和226S,在第二半导体结构300中形成开口325、326S和326G。开口225和226S延伸穿过第一互连结构220、有源层206和沟道层204以暴露衬底202的表面。随后在开口225中形成密封环结构227。随后在开口226S中形成接触结构228S,并且在一些实施例中,开口226S形成为使得导电焊盘224S的部分暴露于开口226S内。开口325、326S和326G延伸穿过第二互连结构320、有源层306和沟道层304以暴露衬底302的表面。随后在开口326S中形成接触结构328S,并且在一些实施例中,开口326S形成为使得导电焊盘324S的部分暴露在开口326S内。随后在开口326G中形成接触结构328G,并且在一些实施例中,形成开口326G使得导电焊盘324G的部分暴露于开口326G内。可以使用合适的光刻和蚀刻技术形成开口225/226/325/326。
在图8A和图8B中,根据一些实施例,导电材料沉积在开口225/226/325/326中以形成密封环结构227/327和接触结构228/328。导电材料可以沉积在开口225/226/325/326的侧壁表面和底面上。在一些实施例中,开口225/226/325/326的底面可以是衬底202/302的暴露表面。在一些实施例中,开口226/326的侧壁表面可以包括导电焊盘224/324的暴露部分。导电材料可以类似于先前描述的用于形成互连结构220/320的导电部件的导电材料,在一些实施例中,例如,沉积到开口225/226/325/326中的导电材料可以包括铝,钨、铜等。可以使用诸如CVD、PVD、镀覆等的合适技术来沉积导电材料。例如,在一些实施例中,可以在开口225/226/325/326内的表面上覆盖沉积晶种层(未示出),然后使用镀覆工艺将导电材料沉积在晶种层上。其他材料或技术是可能的。
如图8A所示,开口225中的导电材料形成密封环结构227,开口226S中的导电材料形成接触结构228S。开口226S中的导电材料物理接触和电接触导电焊盘224S的暴露部分,因此接触结构228S电连接到导电焊盘224S。接触结构228S的导电材料还物理接触和电接触衬底202的暴露表面。以这种方式,在一些实施例中,接触结构228S可以在源极(例如S1)和第一HEMT器件112的“体(body)”之间形成电连接。
如图8B所示,开口325中的导电材料形成密封环结构327,开口326S中的导电材料形成接触结构328S,开口326G中的导电材料形成接触结构328G。开口326S中的导电材料物理接触和电接触导电焊盘324S的暴露部分,因此接触结构328S电连接到导电焊盘324S。开口326G中的导电材料物理接触和电接触导电焊盘324G的暴露部分,因此接触结构328G电连接到导电焊盘324G。
在图9A和图9B中,根据一些实施例,沉积介电材料229/329以填充开口225/226/325/326。介电材料229可以沉积在第一半导体结构200上方以填充开口225和226S,并且介电材料329可以沉积在第二半导体结构300上方以填充开口325、326S和326G。在一些实施例中,介电材料229/329可以是与先前针对介电层221/321描述的那些材料类似的材料。例如,介电材料229/329可以是氧化硅、氮化硅、玻璃、模塑料、聚合物等,并且可以使用诸如CVD、可流动CVD(FCVD)、PVD、ALD等合适的工艺来沉积。其他材料或沉积技术是可能的。介电材料229/329可以提供电绝缘、处理期间的保护和/或结构支撑。在一些实施例中,执行平坦化工艺(例如,CMP工艺、研磨工艺等)以从互连结构220/320的顶面去除过量的介电材料229/329。在一些实施例中,平坦化工艺还可以去除沉积的过量导电材料以形成密封环结构227/327和接触结构228/328。
在图10中,根据一些实施例,第一半导体结构200接合到第二半导体结构300。接合第一半导体结构200至第二半导体结构300是将第一HEMT器件112、第二HEMT器件114和第三HEMT器件116电连接。例如,在一些实施例中,导电焊盘224S通过导电连接器120A接合到导电焊盘324D2,这将第一HEMT器件112的源极(例如,第一源极S1)电连接到第二HEMT器件114的漏极(例如,第二漏极D2)。在一些实施例中,导电焊盘224G通过导电连接器120B接合到导电焊盘324D3,这将第一HEMT器件112的栅极(例如,第一栅极G1)电连接到第三HEMT器件116的漏极(例如,第三漏极D3)。以这种方式,可以形成包括以共源共栅配置的第一HEMT器件112、第二HEMT器件114和第三HEMT器件116的单个结构。
导电连接器120A-120B可以是例如球栅阵列(BGA)连接器、焊球、金属柱、可控塌陷芯片连接(C4)凸块、微凸块、化学镀镍-化学镀钯-浸金技术(ENEPIG)形成凸块等。导电连接器120A-120B可以包括导电材料,诸如焊料、铜、铝、金、镍、银、钯、锡等或其组合。在一些实施例中,导电连接器120A-120B通过最初通过蒸发、电镀、印刷、焊料转移、球放置等形成焊料层而形成的。一旦在结构上形成了焊料层,就可以进行回流以将材料塑形为所需的凸块形状。在另一实施例中,导电连接器120A-120B包括通过溅射、印刷、电镀、化学镀、CVD等形成的金属柱(例如铜柱)。金属柱可以是无焊料的并且具有基本上垂直的侧壁。在一些实施例中,金属盖层形成在金属柱的顶部上。金属盖层可以包括镍、锡、锡-铅、金、银、钯、铟、镍-钯-金、镍-金等或其组合,并且可以通过镀覆工艺形成。
在一些实施例中,导电连接器120A-120B形成在第一半导体结构200上(例如,在导电焊盘224S和224G上),然后使用例如取放工艺等将导电连接器120A-120B放置成与第二半导体结构300(例如,在导电焊盘324D2和324D3上)物理接触。一旦物理接触,可以利用回流工艺将导电连接器120A-120B接合到半导体结构300。在其他实施例中,导电连接器120A-120B形成在第二半导体结构300上而不是第一半导体结构200上。在其他实施例中,导电连接器或其材料形成在第一半导体结构200和第二半导体结构300两者上。
在其他实施例中,导电连接器120没有形成在半导体结构200/300上,并且半导体结构200/300使用直接接合技术进行接合,诸如熔融接合、金属对金属接合、介电对介电接合、混合接合、热压接合等。例如,导电焊盘224S可以使用金属对金属接合来接合到导电焊盘324D2,并且导电焊盘224G可以使用金属对金属接合来接合到导电焊盘324D3。
在一些实施例中,底部填充物105沉积在第一半导体结构200和第二半导体结构300之间的间隙中。底部填充物105可以围绕导电连接器120A-120B。底部填充物105可以是诸如模塑料、密封剂、环氧树脂、底部填充物、模制底部填充物(MUF)、树脂等的材料。底部填充物105可以保护导电连接器120并且可以为共源共栅HEMT器件100(见图11)提供结构支撑。在一些实施例中,底部填充物105可以以液体或半液体形式施加,然后随后被固化。在一些实施例中,底部填充物105、第一半导体结构200和/或第二半导体结构300具有共面或相连的侧壁。在其他实施例中,第一半导体结构200的宽度可以不同于第二半导体结构300的宽度,因此第一半导体结构200的侧壁可以从第二半导体结构300的侧壁横向偏移。在其他实施例中,半导体结构200/300的侧壁是栅极密封结构227/327的暴露表面。
在图11中,根据一些实施例,贯穿连接器232D、332S和332G形成在第一半导体结构200和第二半导体结构300上以形成共源共栅HEMT器件100。贯穿连接器232D/332S/332G允许对接触结构228/328进行外部电连接。例如,在一些实施例中,贯穿连接器232D形成为穿过第一半导体结构200,形成与第一HEMT器件112的漏极(例如,第一漏极D1)的电连接。以这种方式,贯穿连接器232D可以用作共源共栅HEMT器件100的公共漏极(DC)端子110D。在一些实施例中,贯穿连接器332S形成为穿过第二半导体结构300,形成与接触结构328S的电连接。以这种方式,贯穿连接器332S可以用作共源共栅HEMT器件100的公共源极(SC)端子110S。在一些实施例中,贯穿连接器332G形成为穿过第二半导体结构300,形成与接触结构328G的电连接。以这种方式,贯穿连接器332G可以用作共源共栅HEMT器件100的公共栅极(GC)端子110G。如本文所描述的形成贯穿连接器232D/332S/332G,允许在共源共栅HEMT器件100的两侧而不是仅在共源共栅HEMT器件100的单侧上对共源共栅HEMT器件100进行外部连接。这允许更垂直结构的共源共栅HEMT器件100,其具有降低的面积(例如,“占位区域”)和更短的内部互连。
在一些实施例中,在形成贯穿连接器232D/332S/332G之前,使用平坦化工艺,诸如CMP工艺或研磨工艺,将衬底202和/或衬底302减薄。在一些实施例中,通过在第一半导体结构200中形成开口(未示出)来形成贯穿连接器232D,该开口延伸穿过第一晶圆201和第一互连结构220的介电层221以暴露部分导电焊盘224D。可以使用合适的光刻和蚀刻工艺来形成开口。在一些实施例中,然后绝缘层230沉积在衬底202的顶面上和开口的侧壁上。绝缘层230可以包括一层或多层介电材料,诸如氧化物材料、氮化物材料、聚合物材料、玻璃材料等,其可以使用任何合适的技术形成。然后可以在开口中(例如,在导电焊盘224D的暴露部分上)和绝缘层230的上表面上沉积导电材料以形成贯穿连接器232D。导电材料可以包括例如铝、钨、铜等。可以使用诸如CVD、PVD、镀覆等的合适技术来沉积导电材料。例如,在一些实施例中,可以覆盖沉积晶种层(未示出),然后使用镀覆工艺将导电材料沉积在晶种层上。在一些实施例中,可以覆盖(例如,用图案化的光刻胶)晶种层的区域以阻止导电材料在那些区域中的沉积。其他材料或技术是可能的。在一些实施例中,在绝缘层230的顶面上延伸的贯穿连接器232D的部分可以具有在约10000μm2至约100mm2的范围内的面积,但是其他面积也是可能的。在一些情况下,如本文所描述的贯穿连接器110D的形成允许有较大的接触面积(例如,图12A-图12B中所示的管芯焊盘155),这可以降低电阻并改进散热。
在一些实施例中,通过在第二半导体结构300中形成开口(未示出)来形成贯穿连接器332S和332G,开口延伸穿过衬底302以分别暴露接触结构328S和328G的部分。可以使用合适的光刻和蚀刻工艺来形成开口。在一些实施例中,然后绝缘层330沉积在衬底302的顶面上和开口的侧壁上。然后可以在开口中(例如,在接触结构328S和328G的暴露部分上)和绝缘层330的上表面上沉积导电材料,以形成贯穿连接器332S和332G。导电材料可以包括例如铝、钨、铜等。可以使用诸如CVD、PVD、镀覆等的合适技术来沉积导电材料。例如,在一些实施例中,可以覆盖沉积晶种层(未示出),然后使用镀覆工艺将导电材料沉积在晶种层上。在一些实施例中,可以覆盖(例如,用图案化的光刻胶)晶种层的区域以阻止导电材料在那些区域中的沉积。其他材料或技术是可能的。在一些实施例中,在绝缘层230的顶面上延伸的贯穿连接器332S的部分可以具有在约10000μm2至约100mm2的范围内的面积,并且在绝缘层230的顶面上延伸的贯穿连接器332G的部分可以具有在约10000μm2至约100mm2范围内的面积。其他面积也是可能的。
在一些实施例中,如本文所描述的共源共栅HEMT器件可以并入到封装件中。例如,图12A和图12B示出了根据一些实施例的包括共源共栅HEMT器件100的封装件150的截面图和平面图。图12B所示的平面图是为了说明的目的,为了清楚起见一些部件没有显示。此外,图12A-图12B中所示的实施例是说明性示例,并且各种部件的尺寸、布置或配置在其他实施例中可以不同。共源共栅HEMT器件100可以类似于图11中描述的共源共栅HEMT器件100,或者可以类似于本文其他地方描述的一些其他实施例的共源共栅HEMT器件。封装件150内的部件可以由模塑材料152包围或封装,模塑材料152可以包括模塑化合物、密封剂、环氧树脂、复合材料等。
在一些实施例中,封装件150包括引线框(lead frame)153,该引线框153包括管芯焊盘155和多个引线154。引线154是允许到封装件150r外部连接的导电部件。例如,封装件150包括电连接到共源共栅HEMT器件100的贯穿连接器232D的一个或多个引线154D,电连接到共源共栅HEMT器件100的贯穿连接器332S的一个或多个引线154S,以及电连接到共源共栅HEMT器件100的贯穿连接器332G的一个或多个引线154G。引线框153还包括管芯焊盘155,其是附接到共源共栅HEMT器件100的导电板。在一些实施例中,引线框153和引线154D是连续导电结构的部分。
如图12A-图12B所示,共源共栅HEMT器件100的贯穿连接器232D连接到管芯焊盘155,其将引线154D电连接到共源共栅HEMT器件110的公共漏极(DC)端子110D。在一些实施例中,贯穿连接器232D通过导电粘合剂、焊料等附接到管芯焊盘155。在一些实施例中,贯穿连接器232D的面积可以几乎与共源共栅HEMT器件100的面积一样大。例如,在一些实施例中,贯穿连接器232D的面积可以在共源共栅HEMT器件100的面积的约30%和约100%之间。因此,管芯焊盘155和贯穿连接器232D之间的互连面积可以约与贯穿连接器232D的面积一样大,在一些情况下,贯穿连接器232D的面积可以约与整个共源共栅HEMT器件100的面积一样大。在一些情况下,更大的导电部件和/或更大的互连面积可以允许更大的电流路径、降低的电阻、改进的互连、更大的电流容量和/或减少的发热。例如,在一些情况下,具有较大面积的导电部件(例如贯穿连接器232D或管芯焊盘155)可能比较小的导电部件(例如导线(wire)接合)具有更小的电阻。以此方式,如本文所描述,使用贯穿连接器232和管芯焊盘155将引线154连接到共源共栅HEMT器件100可导致效率提高、热量减少、功耗降低和/或封装件(诸如封装件150)的性能提高。
如图12A-图12B所示,在一些实施例中,共源共栅HEMT器件100的贯穿连接器332G可以通过引线连接器152G电连接到引线154G,引线连接器152G将引线154G电连接到共源共栅HEMT器件110的公共栅极(GC)端子110G。引线连接器152G可以是例如金属板、金属焊盘、金属引线、金属膜或箔等具有适当形状的连接到贯穿连接器332G和引线154G两者的类似物。在一些实施例中,引线连接器152G通过导电粘合剂、焊料等来附接。在其他实施例中,引线连接器152G可以由沉积的金属层形成。引线连接器152G可以部分地或完全地覆盖贯穿连接器332G,因此在一些情况下,引线连接器152G和贯穿连接器332G之间的互连面积可以与贯穿连接器332G的面积约一样大。如前所述,以这种方式形成更大的互连面积可以降低电阻并改进器件性能。此外,使用如本文所描述的引线连接器152G而不是导线(wire)接合来连接引线154G可以允许降低电阻并改进器件性能。
如图12A-图12B所示,在一些实施例中,共源共栅HEMT器件100的贯穿连接器332S可以通过引线连接器152S电连接到引线154S。引线连接器152S可以类似于引线连接器152G,尽管引线连接器152S可以具有与引线连接器152G不同的形状或不同的尺寸。在一些实施例中,所有的引线154S通过单个引线连接器152S连接到贯穿连接器332S。引线连接器152S可以部分地或完全地覆盖贯穿连接器332S,因此在一些情况下,引线连接器152S和贯穿连接器332S之间的互连面积可以约与贯穿连接器332S的面积一样大。如前所述,以这种方式形成更大的互连面积可以降低电阻并改进器件性能。此外,使用如本文所描述的单引线连接器152S而不是导线接合来连接引线154G可以允许降低电阻并改进器件性能。
在一些实施例中,封装件150可以包括一个或多个散热器156,以改进共源共栅HEMT器件100的散热和热性能。例如,一个散热器156A可以设置在共源共栅HEMT器件100的一侧上方,并且一个散热器156B可以设置在共源共栅HEMT器件100的相对侧上方。以这种方式,共源共栅HEMT器件100可以“夹在”两个散热器156A-156B之间。如图12A所示,一个散热器156A可以相对地靠近第二HEMT器件114和第三HEMT器件116定位,并且一个散热器156B可以相对地靠近第一HEMT器件112定位。以这种方式,在共源共栅HEMT器件100的相对侧上靠近HEMT器件112/114/116定位散热器156A-156B,可以允许有改进的散热和改进的热性能。在一些实施例中,一个散热器156A可以附接到或定位在引线连接器152S和/或152G附近,并且一个散热器156B可以附接到或定位在管芯焊盘155附近。在一些情况下,将散热器156A-156B附接到导电部件152S/152G/155可以改进散热。在一些情况下,引线连接器152S/152G和管芯焊盘155的大面积允许附接具有相应大面积的散热器156A-156B,这可以改进散热和热性能。
图13示出了根据一些实施例的共源共栅高电子迁移率晶体管(HEMT)器件400的示意图。共源共栅HEMT器件400包括第一HEMT器件412、第二HEMT器件414和第三HEMT器件416。共源共栅HEMT器件400类似于之前针对图1描述的共源共栅HEMT器件100,除了所有共源共栅HEMT器件400的三个HEMT器件412/414/416是增强型器件。(在共源共栅HEMT器件100中,第一HEMT器件112是耗尽型器件,并且第二HEMT器件114和第三HEMT器件116是增强型器件)。共源共栅HEMT器件400可以使用如之前针对共源共栅HEMT器件100所描述的类似的材料和/或技术。例如,第一HEMT器件412可以形成在第一半导体结构500中,并且第二HEMT器件414和第三HEMT器件416都可以形成在单独的第二半导体结构600中。因此,共源共栅HEMT器件400的一些细节、部件、材料或技术与先前针对共源共栅HEMT器件100描述的那些类似,在以下讨论中可能不再重复。
仍然参考图13,共源共栅HEMT器件400包括具有第一源极S1、第一漏极D1和第一栅极G1的第一HEMT器件412;具有第二源极S2、第二漏极D2和第二栅极G2的第二HEMT器件414;具有第三源极S3、第三漏极D3和第三栅极G3的第三HEMT器件416。第一HEMT器件412和第二HEMT器件414以共源共栅配置连接。例如,第一HEMT器件412可以被认为是公共栅极阶,并且第二HEMT器件414可以被认为是公共源极阶,其中第一源极S1耦接到第二漏极D2。第三HEMT器件416为二极管连接配置,并耦接到第一HEMT器件412和第二HEMT器件414。例如,第三栅极G3耦接到第三源极S3,第三漏极D3耦接到第一栅极G1,第三源极S3耦接到第二源极S2。第三HEMT器件416可以被配置为例如保护第二HEMT器件414免受可能导致损坏的高电压(例如,第一漏极D1和第一栅极G1之间的高电压)。
如前所述,第一HEMT器件412、第二HEMT器件414和第三HEMT器件416是增强型器件(即,常关器件)。HEMT器件412、414或416可以具有类似的相应击穿电压或不同的相应击穿电压。例如,在一些实施例中,第一HEMT器件412可以具有比第二HEMT器件414更大的击穿电压。其他配置是可能的。以共源共栅配置耦接第一HEMT器件412和第二HEMT器件414允许共源共栅HEMT器件400以类似于单个高压晶体管器件的方式操作。例如,第一HEMT器件412和第二HEMT器件414被配置为共同形成共源共栅HEMT器件400的公共源极(SC)端子410S、共源共栅HEMT器件400的公共漏极(DC)端子410D、以及共源共栅HEMT器件400的公共栅极(GC)端子410G。共源共栅HEMT器件400具有大于第一HEMT器件412或第二HEMT器件414的相应击穿电压的击穿电压。例如,在在一些实施例中,第一HEMT器件412和第二HEMT器件414可以各自具有约650V的击穿电压,而共源共栅HEMT器件400可以具有约1200V的击穿电压。其他击穿电压是可能的。通过使用第一HEMT器件412和第二HEMT器件414来操作如本文所描述的单个高压器件,共源共栅HEMT器件400能够在不使用具有单独高击穿电压的HEMT器件的情况下实现高击穿电压,这可以减小高压器件的尺寸或成本。
图14示出了根据一些实施例的共源共栅HEMT器件400的示意性截面图。图14所示的共源共栅HEMT器件400可以类似于图13所示的共源共栅HEMT器件400。应当理解,图14的截面图是出于说明性目的而示出的示意图并且可能不代表器件内某些组件的尺寸和/或形状。此外,某些部件可能仅示意性地显示或可能不显示。
如图14所示,第一HEMT器件412具有对应于第一源极S1的源极接触件512S、对应于第一漏极D1的漏极接触件512D、以及在栅极结构513上方共同对应于第一栅极G1的栅极接触件512G;第二HEMT器件414具有对应于第二源极S2的源极接触件614S、对应于第二漏极D2的漏极接触件614D、以及在栅极结构615上方共同对应于第二栅极G2的栅极接触件614G;第三HEMT器件416具有对应于第三源极S3的源极接触件616S、对应于第三漏极D3的漏极接触件616D、以及在栅极结构617上方共同对应于第三栅极G3的栅极接触件616G。栅极结构513/615/617每个都包括具有上覆栅电极的一层或多层半导体材料。半导体材料可以包括例如p掺杂的氮化镓等。在一些实施例中,栅极接触件(例如,512G、614G或616G)可以用作栅电极。
在一些实施例中,第一半导体结构500包括在第一晶圆501上的第一互连结构520,并且第二半导体结构600包括在第二晶圆601上的第二互连结构620。晶圆501/601可以类似于前面描述的晶圆201/301。例如,晶圆501/601可以包括衬底502/602、沟道层504/604和有源层506/606。互连结构520/620可以各自包括多层介电材料和多层导电部件,类似于先前描述的互连结构220/320。
图15A到图17示出了根据一些实施例的形成共源共栅HEMT器件400参见图17)的中间步骤的截面图。共源共栅HEMT器件400可以类似于图13所示的共源共栅HEMT器件400或图14所示的共源共栅HEMT器件400。图15A和图16A示出了形成第一半导体结构500的中间步骤的截面图。图15B和图16B示出了根据一些实施例的形成第二半导体结构600的中间步骤的截面图。图17示出了根据一些实施例的共源共栅HEMT器件400的截面图。
图15A和图15B分别示出了根据一些实施例的第一半导体结构500和第二半导体结构600的截面图。第一半导体结构500和第二半导体结构600可以使用与之前针对第一半导体结构200和第二半导体结构300描述的那些类似的材料和技术形成。第一HEMT器件412形成在第一半导体结构500中,在一些实施例中,第二HEMT器件414和第三HEMT器件416形成在第二半导体结构600中。隔离区508可以形成在第一晶圆501中,并且隔离区608可以形成在第二晶圆601中。
第一互连结构520形成在第一晶圆501上方,并且可以包括介电层521和导电部件,诸如导电线522、导电通孔523、导电焊盘524和密封环结构510。例如,导电焊盘524S可以电连接到源极接触件512S,导电焊盘524D可以电连接到漏极接触件512D,并且导电焊盘524G可以电连接到栅极接触件512G。第二互连结构620形成在第二晶圆601上方,并且可以包括介电层621和导电部件,诸如导电线622、导电通孔623、导电焊盘624和密封环结构610。例如,导电焊盘624S可以电连接到源极接触件614S,导电焊盘624D2可以电连接到漏极接触件614D,导电焊盘624D3可以电连接到漏极接触件616D,并且导电焊盘624G可以电连接到栅极接触件614G、源极接触件616S和栅极接触件616G。
图16A和图16B示出了根据一些实施例的在形成密封环结构527/627和接触结构528/628之后的第一半导体结构500和第二半导体结构600。密封环结构527/627和接触结构528/628可以类似于之前描述的密封环结构227/327或接触结构228/328,并且可以使用类似的材料或技术形成。例如,在一些实施例中,可以在暴露衬底502/602的半导体结构500/600中蚀刻开口。可以在开口中沉积导电材料,然后可以在导电材料上沉积介电材料。这是示例,其他技术也是可能的。
参考图16A,接触结构528S的导电材料物理接触和电接触导电焊盘524S的暴露部分,因此接触结构528S电连接到导电焊盘524S。接触结构528S的导电材料还物理接触和电接触衬底502的暴露表面。以这种方式,在一些实施例中,接触结构528S可以在源极(例如S1)和第一HEMT器件412的“体”之间形成电连接。参考图16B,接触结构628S的导电材料物理接触和电接触导电焊盘624S的暴露部分,因此接触结构628S电连接到导电焊盘624S。接触结构628G的导电材料物理接触和电接触导电焊盘624G的暴露部分,并且因此接触结构628G电连接到导电焊盘624G。
在图17中,根据一些实施例,第一半导体结构500接合到第二半导体结构600,并且形成贯穿连接器532D、632S和632G以形成共源共栅HEMT器件400。接合第一半导体结构500至第二半导体结构600是电连接第一HEMT器件412、第二HEMT器件414和第三HEMT器件416。例如,在一些实施例中,导电焊盘524S通过导电连接器420A接合到导电焊盘624D2,其将第一HEMT器件412的源极(例如,第一源极S1)电连接到第二HEMT器件414的漏极(例如,第二漏极D2)。在一些实施例中,导电焊盘524G通过导电连接器420B接合到导电焊盘624D3,其将第一HEMT器件412的栅极(例如,第一栅极G1)电连接到第三HEMT器件416的漏极(例如,第三漏极D3)。以这种方式,可以形成包括以共源共栅配置的第一HEMT器件412、第二HEMT器件414和第三HEMT器件416的单个结构。导电连接器420A-420B可以类似于之前描述的导电连接器120A-120B,并且可以使用类似的技术形成或接合。在一些实施例中,底部填充物405沉积在第一半导体结构500和第二半导体结构600之间的间隙中。底部填充物405可以围绕导电连接器420A-420B,并且底部填充物405可以类似于之前描述的底部填充物105。
仍然参考图17,根据一些实施例,贯穿连接器532D、632S和632G形成在第一半导体结构500和第二半导体结构600上。贯穿连接器532D/632S/632G允许对导电焊盘524和接触结构628进行外部电连接。例如,在一些实施例中,贯穿连接器532D形成为穿过第一半导体结构500,其与第一HEMT器件412的漏极(例如,第一漏极D1)电连接。以这种方式,贯穿连接器532D可以用作共源共栅HEMT器件400的公共漏极(DC)端子410D。在一些实施例中,贯穿连接器632S形成为穿过第二半导体结构600,其与接触结构628S电连接。以这种方式,贯穿连接器632S可以用作共源共栅HEMT器件400的共源极(SC)端子410S。在一些实施例中,贯穿连接器632G形成为穿过第二半导体结构600,其与接触结构628G电连接。以这种方式,贯穿连接器632G可以用作共源共栅HEMT器件400的公共栅极(GC)端子410G。
贯穿连接器532D/632S/632G可以类似于先前描述的贯穿连接器232D/332S/332G,并且可以使用类似的材料或技术形成。例如,可以在第一半导体结构500中形成开口,该开口延伸穿过衬底502和第一互连结构520以暴露导电焊盘524D的部分。可以沉积绝缘层530,然后可以在开口中沉积导电材料以形成贯穿连接器532D。可以在衬底602中形成开口以暴露接触结构628S和接触结构628G。可以沉积绝缘层630,然后可以在开口中沉积导电材料以形成贯穿连接器632S和632G。其他技术是可能的。在一些实施例中,可以在形成贯穿连接器532D/632S/632G之前减薄衬底502和/或衬底602。在一些实施例中,如本文所描述的共源共栅HEMT器件400可以并入到封装件中,该封装件可类似于针对图12A-图12B描述的封装件150。
本文所描述的实施例可以具有一些优点。例如,在共源共栅配置中包括三个高电子迁移率晶体管(HEMT)的单个器件可以允许有增加的击穿电压,诸如大于约1200V。在一些实施例中,HEMT形成在两个衬底中并接合在一起成为单个HEMT结构(例如,管芯、封装组件等)的。通过在两个衬底上形成HEMT,可以使HEMT之间的电互连更短,这可以降低寄生电阻、寄生电感并减少电失配。以这种方式改进电互连可以改进器件效率和性能。此外,通过接合两个衬底来形成HEMT结构可以产生具有更小面积的HEMT结构。此外,接合两个衬底以形成单个HEMT结构,诸如通过将HEMT结构夹在两个散热器之间,可以改进散热。
根据本公开的一些实施例,一种半导体器件包括第一半导体结构,该第一半导体结构包括第一高电子迁移率晶体管(HEMT)器件,其中第一HEMT器件包括第一栅极、第一源极和第一漏极;第二半导体结构堆叠在第一半导体结构之上并接合到第一半导体结构,第二半导体结构包括第二HEMT器件和第三HEMT器件,其中,第二HEMT器件包括第二栅极、第二源极和电连接到第一源极的第二漏极,其中,第三HEMT器件包括第三栅极、第三源极和电连接到第一栅极的第三漏极。在一个实施例中,第二半导体结构通过多个焊料凸块接合到第一半导体结构。在一个实施例中,第一HEMT器件是耗尽型晶体管,第二HEMT器件是增强型晶体管,并且第三HEMT器件是增强型晶体管。在一个实施例中,半导体器件包括电连接到第一源极的第一接触结构、电连接到第二栅极的第二接触结构以及电连接到第二源极的第三接触结构,其中,第一接触结构位于第一源极和第一栅极之间,第二接触结构位于第二漏极和第二栅极之间,第三接触结构位于第二源极和第二栅极之间。在一个实施例中,第二源极、第三源极和第三栅极在第二半导体结构内电互连。在一个实施例中,第二栅极、第三源极和第三栅极在第二半导体结构内电互连。在一个实施例中,第一栅极包括位于p掺杂氮化镓层上方的栅电极。在一个实施例中,第一半导体结构包括硅衬底、位于硅衬底上方的包含氮化镓的沟道层以及位于沟道层上方的包含氮化铝镓的有源层。在一个实施例中,沟道层具有小于5μm的厚度。
根据本公开的一些实施例,一种半导体封装件包括第一半导体结构,该第一半导体结构包括形成在第一衬底上的第一高电子迁移率晶体管(HEMT)器件;第二半导体结构包括形成在第二衬底上的第二HEMT器件和第三HEMT器件,其中第二半导体结构附接到第一半导体结构,其中第一HEMT器件、第二HEMT器件和第三HEMT器件以共源共栅配置连接;第一导电部件,位于第一衬底上,其中,第一导电部件穿透第一衬底以电连接到第一HEMT器件;第二导电部件,位于第二衬底上,其中,第二导电部件穿透第二衬底以电连接到第二HEMT器件;以及第三导电部件,位于第二衬底上,其中,第三导电部件穿透第二衬底以电连接到第二HEMT器件。在一个实施例中,封装件包括引线框,其中,引线框包括导电的管芯焊盘和多个导电引线,其中,第一导电部件附接到管芯焊盘。在一个实施例中,第一导电引线通过管芯焊盘电连接到第一导电部件,其中,第二导电引线通过第一金属板电连接到第二导电部件,其中,第三导电引线通过第二金属板电连接到第三导电部件。在一个实施例中,第四导电引线通过第二金属板电连接到第三导电部件。在一个实施例中,封装件包括位于第一衬底上方的第一散热器以及与第一衬底相对位于第二衬底上方的第二散热器。在一个实施例中,第三HEMT器件是二极管连接的晶体管。在一个实施例中,第二导电部件电连接到第二HEMT器件的栅极接触件,其中,第三导电部件电连接到第二HEMT器件的源极接触件。
根据本公开的一些实施例,一种形成半导体封装件的方法包括:在第一半导体衬底上形成第一高电子迁移率晶体管(HEMT)器件;在第一半导体衬底上方形成第一互连结构,其中第一互连结构电连接到第一HEMT器件;在第二半导体衬底上形成第二HEMT器件和第三HEMT器件;在第二半导体衬底上方形成第二互连结构,其中第二互连结构将第二HEMT器件电连接到第三HEMT器件;以及将第一互连结构接合到第二互连结构,其中,接合将第一HEMT器件电连接到第二HEMT器件并且将第一HEMT器件电连接到第三HEMT器件。在一个实施例中,将第一互连结构接合到第二互连结构包括在第一互连结构上形成焊料凸块并且将第二互连结构放置在焊料凸块上。在一个实施例中,接合将第一HEMT器件的源极电连接到第二HEMT器件的漏极并且将第一HEMT器件的栅极电连接到第三HEMT器件的漏极。在一个实施例中,该方法包括在第一互连结构中形成密封环结构。
上述概述了几个实施例的特征,以便本领域技术人员可以更好地理解本公开的各个方面。本领域技术人员应当理解,他们可以容易地使用本公开作为设计或修改用于实现本文所介绍的实施例的相同目的和/或实现其相同优点的其它过程和结构的基础。本领域技术人员还应当认识到,此类等效结构不背离本发明的精神和范围,并且它们可以在不背离本发明的精神和范围的情况下在本发明中进行各种改变、替换以及改变。

Claims (10)

1.一种半导体器件,包括:
第一半导体结构,包括第一高电子迁移率晶体管器件,其中,所述第一高电子迁移率晶体管器件包括第一栅极、第一源极和第一漏极;以及
第二半导体结构,堆叠在所述第一半导体结构之上并且接合到所述第一半导体结构,其中,所述第二半导体结构包括第二高电子迁移率晶体管器件和第三高电子迁移率晶体管器件,其中,所述第二高电子迁移率晶体管器件包括第二栅极、第二源极和电连接到所述第一源极的第二漏极,其中,所述第三高电子迁移率晶体管器件包括第三栅极、第三源极和电连接到所述第一栅极的第三漏极。
2.根据权利要求1所述的半导体器件,其中,所述第二半导体结构通过多个焊料凸块接合到所述第一半导体结构。
3.根据权利要求1所述的半导体器件,其中,所述第一高电子迁移率晶体管器件是耗尽型晶体管,所述第二高电子迁移率晶体管器件是增强型晶体管,并且所述第三高电子迁移率晶体管器件是增强型晶体管。
4.根据权利要求1所述的半导体器件,还包括电连接到所述第一源极的第一接触结构、电连接到所述第二栅极的第二接触结构以及电连接到所述第二源极的第三接触结构,其中,所述第一接触结构位于所述第一源极和所述第一栅极之间,所述第二接触结构位于所述第二漏极和所述第二栅极之间,所述第三接触结构位于所述第二源极和所述第二栅极之间。
5.根据权利要求1所述的半导体器件,其中,所述第二源极、所述第三源极和所述第三栅极在所述第二半导体结构内电互连。
6.根据权利要求1所述的半导体器件,其中,所述第二栅极、所述第三源极和所述第三栅极在所述第二半导体结构内电互连。
7.根据权利要求1所述的半导体器件,其中,所述第一栅极包括位于p掺杂氮化镓层上方的栅电极。
8.根据权利要求1所述的半导体器件,其中,所述第一半导体结构包括硅衬底、位于所述硅衬底上方的包含氮化镓的沟道层以及位于所述沟道层上方的包含氮化铝镓的有源层。
9.一种半导体封装件,包括:
第一半导体结构,包括形成在第一衬底上的第一高电子迁移率晶体管器件;
第二半导体结构,包括形成在第二衬底上的第二高电子迁移率晶体管器件和第三高电子迁移率晶体管器件,其中,所述第二半导体结构附接到所述第一半导体结构,其中,所述第一高电子迁移率晶体管器件、所述第二高电子迁移率晶体管器件和所述第三高电子迁移率晶体管器件以共源共栅配置连接;
第一导电部件,位于所述第一衬底上,其中,所述第一导电部件穿透所述第一衬底以电连接到所述第一高电子迁移率晶体管器件;
第二导电部件,位于所述第二衬底上,其中,所述第二导电部件穿透所述第二衬底以电连接到所述第二高电子迁移率晶体管器件;以及
第三导电部件,位于所述第二衬底上,其中,所述第三导电部件穿透所述第二衬底以电连接到所述第二高电子迁移率晶体管器件。
10.一种形成半导体封装件的方法,包括:
在第一半导体衬底上形成第一高电子迁移率晶体管器件;
在所述第一半导体衬底上方形成第一互连结构,其中,所述第一互连结构电连接到所述第一高电子迁移率晶体管器件;
在第二半导体衬底上形成第二高电子迁移率晶体管器件和第三高电子迁移率晶体管器件;
在所述第二半导体衬底上方形成第二互连结构,其中,所述第二互连结构将所述第二高电子迁移率晶体管器件电连接到所述第三高电子迁移率晶体管器件;以及
将所述第一互连结构接合到所述第二互连结构,其中,所述接合将所述第一高电子迁移率晶体管器件电连接到所述第二高电子迁移率晶体管器件并且将所述第一高电子迁移率晶体管器件电连接到所述第三高电子迁移率晶体管器件。
CN202310275974.XA 2022-04-25 2023-03-21 半导体结构、封装件及其形成方法 Pending CN116631994A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US63/363,503 2022-04-25
US17/816,525 US20230343693A1 (en) 2022-04-25 2022-08-01 Wafer-on-wafer Cascode HEMT Device
US17/816,525 2022-08-01

Publications (1)

Publication Number Publication Date
CN116631994A true CN116631994A (zh) 2023-08-22

Family

ID=87625446

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202310275974.XA Pending CN116631994A (zh) 2022-04-25 2023-03-21 半导体结构、封装件及其形成方法

Country Status (1)

Country Link
CN (1) CN116631994A (zh)

Similar Documents

Publication Publication Date Title
US9324631B2 (en) Semiconductor device including a stress buffer material formed above a low-k metallization system
TWI734200B (zh) 半導體裝置與高電壓裝置及其形成方法
US10163707B2 (en) Method for forming group III-V device structure
US11302662B2 (en) Semiconductor package with air gap and manufacturing method thereof
US12094925B1 (en) Three-dimensional device structure including embedded integrated passive device and methods of making the same
KR102342976B1 (ko) 본드 패드를 위한 막 구조
US12094844B2 (en) Semiconductor package including test pad and bonding pad structure for die connection and methods for forming the same
US11855130B2 (en) Three-dimensional device structure including substrate-embedded integrated passive device and methods for making the same
US12040242B2 (en) Three-dimensional device structure including seal ring connection circuit
CN113314459A (zh) 集成电路芯片以及用于形成集成电路芯片的方法
TWI830409B (zh) 半導體元件、半導體封裝及其製造方法
US20220059468A1 (en) Method for manufacturing semiconductor package with air gap
US7598588B2 (en) Semiconductor structure and method of manufacture
US9882011B2 (en) Semiconductor device with reduced parasitic drain-gate capacitance and method of manufacturing the same
KR102707747B1 (ko) 웨이퍼-온-웨이퍼 캐스코드 고 전자 이동도 트랜지스터 디바이스
CN116631994A (zh) 半导体结构、封装件及其形成方法
US20240321654A1 (en) Three-dimensional device structure including seal ring connection circuit
US20230420328A1 (en) Semiconductor device and method forming the same
US20230352433A1 (en) Semiconductor device structure with composite bottle-shaped through silicon via and method for prepriang the same
US20230282525A1 (en) Hybrid Integrated Circuit Dies and Methods of Forming the Same
TW202404019A (zh) 改進可靠性的半導體結構及其形成方法
CN117832254A (zh) 一种GaN基HEMT器件及制作方法
CN116469887A (zh) 混合集成电路管芯及其形成方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination