TW202015097A - 矽化物膜成核 - Google Patents

矽化物膜成核 Download PDF

Info

Publication number
TW202015097A
TW202015097A TW108115492A TW108115492A TW202015097A TW 202015097 A TW202015097 A TW 202015097A TW 108115492 A TW108115492 A TW 108115492A TW 108115492 A TW108115492 A TW 108115492A TW 202015097 A TW202015097 A TW 202015097A
Authority
TW
Taiwan
Prior art keywords
chamber
substrate
silicide
metal silicide
seed layer
Prior art date
Application number
TW108115492A
Other languages
English (en)
Other versions
TWI805752B (zh
Inventor
李學斌
艾羅安東尼歐C 山契斯
派翠西亞M 劉
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW202015097A publication Critical patent/TW202015097A/zh
Application granted granted Critical
Publication of TWI805752B publication Critical patent/TWI805752B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System the conductive layers comprising silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02425Conductive materials, e.g. metallic silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28035Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities
    • H01L21/28044Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities the conductor comprising at least another non-silicon conductive layer
    • H01L21/28052Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities the conductor comprising at least another non-silicon conductive layer the conductor comprising a silicide layer formed by the silicidation reaction of silicon with a metal layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28525Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System the conductive layers comprising semiconducting material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • H01L21/67213Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process comprising at least one ion or electron beam chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67276Production flow monitoring, e.g. for increasing throughput
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76876Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for deposition from the gas phase, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76886Modifying permanently or temporarily the pattern or the conductivity of conductive members, e.g. formation of alloys, reduction of contact resistances
    • H01L21/76889Modifying permanently or temporarily the pattern or the conductivity of conductive members, e.g. formation of alloys, reduction of contact resistances by forming silicides of refractory metals
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures

Abstract

本文中所揭露的實施例涉及形成MOSFET設備。詳細而言,在沉積金屬矽化物層之前在基底上執行一或更多種預矽化物處理,以改善MOSFET中的金屬矽化物層的密度及性能。用預矽化物處理形成的金屬矽化物形成可以發生在MOSFET製造期間的金屬閘極形成之前或之後。

Description

矽化物膜成核
本揭示內容的實施例大致與場效電晶體(FET)的製造及在用於FET的基底上形成矽化物相關。
可以將金屬矽化物及多晶金屬矽化物形成於用於半導體及電子設備製造(包括用於製造FET且具體而言是金屬氧化物半導體FET(MOSFET))的各種基底上。在矽化物形成過程期間的矽化物的成核可能受到由用於FET及MOSFET的多步驟製造過程引起的上游製造操作的負面影響。
場效電晶體(FET)是依賴由閘極上的電壓所產生的電場來控制汲極與源極之間的電流的一系列電晶體。許多類型的FET中的一者是MOSFET。金屬氧化物半導體被用作電晶體的閘極與基底之間的絕緣層。MOSFET設備的製造包括多次的沉積及圖案化操作,該等操作被執行以形成及電連接及/或電隔離設備的各種特徵。一般在MOSET製造過程期間形成至少一個矽化物層。考慮到涉及MOSFET製造的許多過程操作,矽化物層(其可以是包括多晶(「poly」)金屬矽化物的金屬矽化物)的成核可能是有挑戰性的。這些製造操作可能藉由減少金屬矽化物形成的成核位點的數量而抑制金屬矽化物的成核,及/或增加使金屬矽化物構造成核所需的活化能。
因此,本領域中仍然需要改良的MOSFET製造方法。
本文中所論述的系統及方法涉及MOSFET設備的製造。在一個示例中,一種用於製造MOSFET設備的系統包括:傳輸腔室,界定傳輸空間;複數個過程腔室,其中將該複數個過程腔室中的每個過程腔室耦接到該傳輸腔室;及傳輸機器人,在該傳輸空間中且被配置為進出該傳輸腔室及該複數個過程腔室。該系統更包括控制器,該控制器包括用於設備製造的指令,其中該等指令在被處理器執行時:從該傳輸腔室取出基底;將該基底設置在該複數個過程腔室的第一過程腔室中;在該第一過程腔室中在該基底上執行至少一種預矽化物處理,以增加該基底內的摻雜物的濃度或增加該基底的表面粗糙度;及隨後,在該複數個過程腔室的第二過程腔室中藉由沉積在該基底上形成金屬矽化物。
在形成金屬矽化物的一個示例方法中,該方法包括以下步驟:在基底上形成種子層,其中該種子層包括厚度從1 nm到5 nm的摻雜的矽層;及隨後,藉由CVD沉積在該種子層上形成金屬矽化物層。
在形成金屬矽化物的另一個示例方法中,該方法包括以下步驟:在基底上執行預矽化物處理,其中該預矽化物處理包括以下步驟中的一或更多者:形成種子層,其中該種子層為1 nm到5 nm厚且包括從1E19 到1E23 的摻雜物濃度;將該基底暴露於一或更多種前驅物來源,以形成該基底的摻雜表面;將摻雜物植入於該基底中;及蝕刻該基底的表面。該方法更包括以下步驟:在該基底上執行該預矽化物處理之後,在處理過的該基底上形成金屬矽化物層。
本文中所論述的系統及方法至少部分地藉由在將金屬矽化物形成在基底上之前使用一或更多種預矽化物處理促進矽化物層的成核,改善了MOSFET製造過程。示例性的預矽化物處理包括:1.在TiSix 形成之前沉積薄的、高度摻Si的種子層(例如SiP、SiAs、或SiB);2.沉積薄的SiGe種子層或使用Ge前驅物鈍化基底表面以使金屬矽化物成核;3.在TiSix 形成之前將基底預浸漬在摻雜物氣體(PH3 、AsH3 、B2 H6 、或其他摻雜物)中;4.藉由針對摻雜物注射進行植入來進行矽或表面預處理;5.藉由物理濺射(例如蝕刻)來進行表面預處理以使表面非晶化。
在各種實施例中,預矽化物處理可以包括:(1)NMOS設備的第一預矽化物處理,包括在形成金屬矽化物(本文中使用示例金屬矽化物TiSix 或TiSi2 來論述)之前形成高度摻Si的種子層(例如SiP、SiAs、SiB);(2)PMOS設備的第二預矽化物處理,包括擇一藉由使用Si來源(例如二氯矽烷(DCS)、矽烷(SiH4 )、乙矽烷(DS))及/或Ge來源(例如鍺烷(GeH4 )、乙鍺烷(Ge2 H6 )、氯化鍺(GeCl4 ))、或藉由在Ge前驅物中鈍化Si基底,來形成PMOS設備的SiGe種子層、GeB種子層、或SiGe-B種子層;(3)各種MOSFET設備類型的第三預矽化物處理,包括藉由將基底預浸漬在摻雜物前驅物氣體(例如磷化氫(PH3 )、砷化氫(AsH3 )、乙硼烷(B2 H6 )、或其他的摻雜物氣體)中,來形成摻雜物鈍化的基底表面;(4)各種MOSFET設備類型的第四預矽化物處理,包括藉由在形成金屬矽化物之前將摻雜物注射到Si基底中,來增加基底的摻雜物含量及表面粗糙度;及/或(5)各種MOSFET設備類型的第五預矽化物處理,包括藉由物理濺射執行基底的表面預矽化物處理,以增加表面的粗糙度,這增加了基底表面的表面面積,因此增加了金屬矽化物的成核位點。如本文中所論述,「物理濺射」指的是轟擊基底(源極/汲極)以從表面移除原子以增加表面粗糙度。物理濺射也可以包括將移除的原子再沉積到基底表面上。可以在金屬矽化物形成之前單獨地或用任何組合方式執行預矽化物處理(1)-(5),如下文詳細論述的。本文中所論述的NMOS及PMOS腔室是源極/汲極磊晶腔室,該等源極/汲極磊晶腔室被配置為在製造期間沉積各種組成的源極及汲極構件。
圖1是依據本揭示內容的實施例的用於形成MOSFET設備的系統100的示意平面圖。系統100是群集工具,該群集工具包括第一腔室102、第二腔室104、第三腔室106、第四腔室108、第五腔室110、及中心傳輸腔室112,該中心傳輸腔室界定容納中心傳輸機器人116的傳輸空間118。系統100更包括耦接到系統100的控制器120。控制器被程式化為執行用於系統100的操作以製造MOSFET設備的複數個指令,包括中心傳輸機器人116的操作以及腔室102-110及裝載腔室114的操作。中心傳輸機器人116被配置為通過傳輸空間118在裝載腔室114與該一或更多個腔室102-110之間傳輸基底。
雖然圖1中未示出,但系統100的腔室102、104、106、108、及/或110可以更包括一或更多個遠端電漿源、及用於前驅物氣體、載體氣體、及其他過程氣體的一或更多個氣體源。系統100也可以包括配置為控制腔室102-110中的一些或全部中的壓力、溫度、氣體流量、及氣體組成的複數個元件,例如感測器及控制件。可以因此將系統100配置為形成所需的結構及設備,包括MOSFET設備,例如NMOS及PMOS設備。在一個示例中,腔室102-110中的一或更多者被保持在真空壓力下,且在其間移動的基底不暴露於環境空氣。在一個實施例中,使用系統100用複數個操作來製造MOSFET設備。可以在一或更多個腔室102-110之中及之間移動基底。在一個實施例中,第一腔室102因此用於矽化物操作,且在一些實施例中用於一或更多種預矽化物處理。在一個示例中,在第一腔室102是金屬矽化物形成腔室時,基底被傳輸通過傳輸空間118且在向/自第一腔室102傳輸的期間不暴露於環境空氣。在一些實施例中,第一腔室102用於矽化物操作,包括使用例如CVD過程來進行金屬矽化物形成。在其他的實施例中,除了金屬矽化物形成以外,可以將第一腔室額外用來在金屬矽化物形成之前執行本文中所論述的預矽化物處理。
在一個實施例中,第一腔室102是金屬矽化物沉積腔室,該金屬矽化物沉積腔室在一個示例中可以是化學氣相沉積(CVD)腔室。第二腔室104是NMOS腔室,例如用於源極-汲極磊晶的NMOS腔室(例如磊晶沉積腔室),且可以被耦接到Si、P、及/或As的一或更多個前驅物來源以供進行NMOS形成。第三腔室106是PMOS腔室,例如用於源極-汲極磊晶的PMOS腔室,且可以耦接到Si、Ge、及/或B的一個或前驅物來源以供進行PMOS形成。第四腔室108是電漿腔室,可以將摻雜物來源以及Ar及He氣體的來源引入到該電漿腔室以促進第四腔室中的電漿點火。可以將電漿腔室用於各種MOSFET製造操作,包括蝕刻操作。
在一個示例中,可以在系統100的腔室(包括第三腔室106)中製造PMOS設備,且可以在包括第二腔室104的腔室中製造NMOS設備。除了配置為金屬矽化物形成腔室時的第一腔室102、配置為電漿腔室時的第四腔室108、或配置為預清潔腔室時的第五腔室110中的一或更多者以外,還可以擇一使用第三腔室106或第二腔室104來製造NMOS及PMOS設備兩者以及其他類型的MOSFET設備。也就是說,取決於實施例,可以使用腔室102-108的各種組合來製造NMOS或PMOS設備。可以在MOSFET設備製造過程中的操作之前或期間使用預清潔腔室來從基底表面移除氧化物。
可以在第一腔室102被配置為金屬矽化物沉積腔室時在第一腔室102中執行本文中所論述的金屬矽化物形成操作(包括一或更多種預矽化物處理及金屬矽化物的形成)。或者,可以在第二腔室104(在第二腔室104被配置為NMOS腔室時)、第三腔室106(在第三腔室106被配置為PMOS腔室時)中、及/或在第四腔室108(在第四腔室108被配置為電漿腔室)中執行該一或更多種預矽化物處理之後,在金屬矽化物沉積腔室中執行過程。下文詳細論述了系統100中的腔室102-110的用途。
圖2是依據本揭示內容的實施例在基底上形成金屬矽化物的方法200的流程圖。如本文中所論述的將金屬矽化物形成在基底上的操作可以發生在MOSFET設備的製造過程中的各種時點。在操作202處,將基底設置在處理裝置(例如圖1中的系統100的裝載腔室114)中。在此示例中,可以將基底從裝載腔室114傳輸到傳輸空間118中及/或工廠介面或其他前端機器人(未示出),且隨後傳輸到如圖1中所論述的一或更多個腔室中。在一些示例中,預清潔操作作為操作202的一部分而發生在例如預清潔腔室(例如如圖1中所論述的第五腔室110)中。在各種示例中,取決於所接收的基底及所製造的設備類型,預清潔可以涉及從基底表面移除氧化物、脫氣、或其他操作。
在方法200的操作204處,一或更多種預矽化物處理發生。操作204處的預矽化物處理藉由減少層的成核的活化能障壁及/或藉由提供額外的成核位點,改善了在下文所論述的操作206處所形成的金屬矽化物層的成核。取決於實施例及/或所製造的MOSFET設備的類型,操作204處的預矽化物處理可以發生在系統100的一或更多個腔室102-108中。在一或更多種預矽化物處理之後改善的金屬矽化物層成核可能響應於以下作用中的一或更多者:增加基底的摻雜物濃度(因為基底表面上的摻雜物原子可以充當成核位點),或增加基底的表面粗糙度(使表面非晶化),以提供更多成核位點以供使金屬矽化物層成核。
在一個實施例中,操作204處的預矽化物處理包括可以執行為一或更多個子操作204A-204E的一或更多種預矽化物處理。換言之,可以用任何順序將子操作204A-204E中的一或更多者形成為操作204處的預矽化物處理。在第一子操作204A期間的第一預矽化物處理的一個示例中,將高度摻雜的種子層形成於基底上(例如源極及汲極上)。高度摻雜的種子層被形成到從約1奈米(nm)到約5 nm的厚度及從1E19 到1E23 的摻雜物濃度。用來在第一子操作204A中形成種子層的示例摻雜物可以是P、As、及/或B。可以在NMOS設備的製造期間採用第一子操作204A。可以因此在NMOS腔室(例如圖1的第二腔室104)中使用化學氣相沉積(CVD)執行、或在金屬矽化物沉積腔室(例如第一腔室102)中執行第一子操作204A。
在第一預矽化物處理的一個示例中,將薄的、高度摻Si的種子層形成於NMOS基底上(例如源極及汲極上)。可以將種子層形成到從約1 nm到約5 nm的厚度及用至少1 x E19 的摻雜物濃度形成。在一個實施例中,種子層包括從1 x E19 到1 x E23 的摻雜物水平。第一預矽化物處理中所使用的摻雜物可以是n型摻雜物,例如P或As。高度摻雜的種子層減少金屬矽化物的活化能障壁,從而藉由提供摻雜物原子形式的額外成核位點來促進金屬矽化物層的成核。活化能障壁是反應(例如金屬矽化物的成核)所需的能量量。反應的活化能障壁越低,起動該反應的所需能量越低,且較低的活化能障壁可以是合乎需要的,因為這可以意味著,在反應期間消耗較少的基底,及/或具有增加的熱穩定性的更均勻的層被形成。
在第二子操作204B處的第二預矽化物處理的一個示例中,在PMOS腔室(例如系統100的第三腔室106)中將薄的、高度摻雜的種子層形成於PMOS設備的基底上。可以將種子層形成到從約1 nm到約5 nm的厚度及從5E20 到1E23 的摻雜物濃度。在第二子操作204B的一個示例中,將SiGe或GeB或SiGe-B的薄的、高度摻雜的種子層形成於PMOS腔室中。
在第二預矽化物處理的一個示例中,使用一或更多種含Ge的及/或含B的前驅物將薄的、高度摻雜的種子層形成於PMOS基底上。藉由減少基底沉積表面的表面能,減少了隨後形成的金屬矽化物的成核的活化能。藉由經由包括高度摻雜的種子層增加沉積表面處的摻雜物濃度,減少了基底沉積表面的表面能。在第二預矽化物處理的一個示例中,形成SiGe或GeB或SiGe-B的種子層。例如為B的摻雜物有助於減少金屬矽化物層與基底之間的接觸電阻,且提供了金屬矽化物層的額外成核位點。在第二預矽化物處理的另一個示例中,將Si基底浸漬在含Ge的前驅物中,以鈍化表面以具有5 x E20 或更大的摻雜物濃度。在此示例中,藉由將Si基底暴露於GeH4 及HCl來形成SiGe種子層,且將Ge殘餘物形成於Si基底上。
在可以用來形成PMOS或NMOS設備的第三子操作204C處的第三預矽化物處理的一個示例中,使用CVD過程來將基底暴露及浸漬在摻雜物氣體(例如PH3 、AsH3 、B2 H6 、或包括能夠鈍化基底表面的摻雜物的其他前驅物)中。可以在NMOS腔室(例如第二腔室104)、PMOS腔室(例如第三腔室106)、或電漿腔室(例如第四腔室108)中執行第三子操作204C。在一個示例中,在從100℃到600℃、或從200℃到500℃、或約450℃的溫度下執行第三子操作204C。取決於實施例,子操作204C執行從30秒到20分鐘、從1分鐘到5分鐘、或達約2分鐘。在第三子操作204C的期間,將內部設置有基底的過程腔室維持在從約5托到約1大氣壓(atm)的壓力下。與未處理的基底表面相比,浸漬增強了成核位點密度,因為基底表面上的摻雜物原子充當金屬矽化物層的成核位點。
在第四子操作204D處的第四預矽化物處理的一個示例中,經由在基底上擇一針對NMOS或PMOS設備進行離子植入來執行摻雜物植入。可以在第四子操作204D處將例如B、As、及/或P的摻雜物植入於基底中以產生1 x E19 到1 x E23 的摻雜物濃度。取決於正被製造的設備的類型,可以在群集工具的各種腔室中執行第四子操作204D。在第四預矽化物處理的一個示例中,經由離子植入來將基底表面摻雜,以增加基底表面的摻雜物水平及/或增加基底表面的表面粗糙度。除了增加基底的摻雜物水平以外,第四預矽化物處理還增加了表面粗糙度(及糙化區域處的基底表面的表面面積),這藉由提供更多的成核位點促進了金屬矽化物層的成核。
在第五子操作204E處的第五預矽化物處理的一個示例中,執行包括基底表面處理的預矽化物處理,以藉由基底表面的物理濺射(例如蝕刻)來增加基底表面的摻雜物水平及增加表面粗糙度。可以在電漿腔室(例如圖1中的第四腔室108)中或在群集工具的適當配置的其他腔室中使用蝕刻過程來執行子操作204E處的蝕刻。蝕刻可以藉由朝向基底表面物理加速一或更多種摻雜物以同時將基底蝕刻及摻雜來進行。
在一個示例中,操作204處的預矽化物處理包括子操作204A-204E中的一或更多者。在操作204處執行二或更多個子操作204A-204E時,可以連串地執行每個子操作,且在一些示例中,可以同時執行二或更多個子操作。在一個示例中,在操作204處執行二或更多個子操作204A-204E,且可以在上文所論述的系統100的單個腔室(102-108)中執行該二或更多個子操作。在另一個示例中,在操作204處執行二或更多個子操作204A-204E時,可以在上文所論述的系統100的二或更多個腔室(102-108)中執行該二或更多個子操作。在一些示例中,若向金屬矽化物沉積腔室提供針對該一或更多個子操作所採用的前驅物來源,則子操作204A-204C可以在系統100的金屬矽化物沉積腔室(例如第一腔室102)中發生。在一個示例中,子操作204A-204C可以在執行源極-汲極磊晶的NMOS腔室或PMOS腔室中發生。在此示例中,子操作204A-204C中的一或更多者可以發生作為源極-汲極沉積過程的一部分、或在NMOS腔室或PMOS腔室內的單獨的、後續的操作中發生。在源極及汲極的形成及該至少一個預矽化物處理之後,可以隨後將基底傳輸到金屬矽化物沉積腔室以供進行下文所論述的操作206。
在操作204處執行一或更多個子操作204A-204E之後,在操作206處,經由CVD沉積將金屬矽化物或多晶金屬矽化物形成於基底上。操作204處的該一或更多種預矽化物處理有助於操作206處的金屬矽化物的成核,這降低了成核的活化能障壁及/或增加了金屬矽化物的成核位點量。在一個實施例中,若高度摻雜的種子層是經由第一子操作204A或第二子操作204B中的一或更多者形成的,則可以在操作206處的CVD沉積期間的金屬矽化物形成期間部分(小於100%)或完全(100%)消耗高度摻雜的種子層。在一個示例中,在成核期間消耗了種子層的厚度的50%-90%。在一個示例中,形成於第一子操作204A或第二子操作204B處的種子層在操作206處的CVD沉積期間的金屬矽化物形成之後包括高達源極及汲極中的每一者的質量的約5%。
在一個實施例中,在例如可以與系統100的第一腔室102類似的金屬矽化物沉積腔室中執行操作206。操作206處的CVD沉積在特定的過程條件內是完全選擇性的。也就是說,在操作206處僅將金屬矽化物形成在基底的受暴區域(例如源極及汲極)上,且不形成在用介電材料覆蓋的區域上的其他處上。因此,不需要任何不想要的金屬矽化物的後續回蝕操作或其他移除操作。
在一個實施例中,在操作206的期間,金屬矽化物的CVD沉積可以消耗預矽化物處理中的一些或全部。例如,若使用第一子操作204A或第二子操作204B來形成種子層,或使用第二子操作204B來形成鈍化的基底表面,則消耗可能發生。在一個實施例中,操作206完全消耗由子操作204A或204B中的一或更多者所形成的種子層。在另一個實施例中,由第一子操作204A或第二子操作204B中的一或更多者所形成的種子層的質量在操作206之後仍然保留。在一個示例中,種子層的質量的10%在操作206之後仍然保留在源極/汲極上,且在另一個示例中,種子層的質量的5%或更少在操作206之後仍然保留在源極/汲極上。可以在操作206的期間部分消耗本文中所論述的源極及汲極,在該源極及該汲極處,在操作206處形成金屬矽化物層。在一個示例中,源極及汲極的總體積在金屬矽化物的形成期間減少達小於40%。在一個示例中,源極及汲極的總體積在金屬矽化物的形成期間減少達小於30%。在一個示例中,源極及汲極的總體積在金屬矽化物的形成期間減少達小於20%。在另一個示例中,源極及汲極的總體積在金屬矽化物的形成期間減少達小於10%。在另一個示例中,源極及汲極的總體積在金屬矽化物的形成期間減少達小於5%。
在一些實施例中,在源極及汲極的摻雜物活化之前及在金屬閘極的形成之前,在MOSFET製造過程中執行源極及汲極上的預矽化物處理及金屬矽化物形成。在其他的實施例中,在摻雜物活化、金屬閘極的形成、及接觸區域的開啟之後,但在接點的形成之前,執行源極及汲極上的預矽化物處理及後續的金屬矽化物形成。這些過程分別稱為早期及晚期矽化。
圖3A是依據本揭示內容的實施例形成MOSFET設備的方法300A的流程圖。圖3B是依據本揭示內容的實施例形成MOSFET設備的替代方法300B的流程圖。圖3A及3B繪示形成MOSFET設備的方法,其中金屬矽化物形成發生在該方法中的不同時點處。在方法300A的一個實施例中,在操作302處,執行包括複數個子操作的淺溝槽隔離。在一個示例中,可以在圖1中的系統100以外的系統中執行操作302,且隨後將基底傳輸到系統100。在方法300A的操作304處,在操作302處的淺溝槽隔離之後,形成MOSFET接面的源極及汲極。可以在圖1的系統100中執行操作304。在操作304處藉由在NMOS源極-汲極磊晶腔室中或在PMOS源極-汲極磊晶腔室中進行磊晶沉積來形成源極及汲極。在一個實施例中,可以在系統100(例如圖1中所繪示的系統)中,特別是在包括如系統100的腔室104-110中所論述的預清潔腔室、電漿腔室、PMOS腔室、及/或NMOS腔室的腔室中執行操作302及304。
在方法300A的一個實施例中,在操作306處,如方法200中所論述地形成金屬矽化物。在一個示例中,操作306包括以下步驟:執行包括來自上文在圖2中所論述的方法200的一或更多個子操作204A-204E的預矽化物處理,然後進行金屬矽化物形成(方法200中的操作206)。在另一個示例中,操作306包括一或更多種預矽化物處理子操作204A-204C,該等子操作可以在將基底傳輸到金屬矽化物沉積腔室之前在源極/汲極沉積的期間在NMOS腔室中或在PMOS腔室中發生。也就是說,可以在與源極-汲極形成相同的腔室中在源極-汲極形成的期間執行一或更多種預矽化物處理。因此,操作306可以在包括一或更多個腔室中發生,取決於實施例,該一或更多個腔室包括NMOS腔室及金屬矽化物沉積腔室、PMOS腔室及金屬矽化物沉積腔室、或其他腔室的組合。在方法300A中,操作306處的金屬矽化物形成在操作302及304處所製造的MOSFET元件中在操作308處的源極及汲極的摻雜物活化之前發生。在一個實施例中,在操作306處形成的金屬矽化物在高達約900℃下是穩定的。在操作306處的金屬矽化物形成之後,在操作308處,複數個操作可以發生,包括摻雜物活化、介電體沉積、及退火操作。在操作310處,沉積金屬閘極。在操作310處形成金屬閘極之後,在操作312處,複數個操作可以發生,包括接點開啟蝕刻、接點形成、及接點填充。
圖3B示出方法300B,該方法包括與方法300A類似的操作。然而,與在操作306處的金屬矽化物形成是在操作312處形成金屬閘極之前發生的方法300A相比,方法300B中的金屬矽化物形成是在金屬閘極形成之後發生的。如圖3B中所示,與方法300A類似,淺溝槽隔離在操作302處發生,然後進行操作304處的接面形成。與方法300A相比,且在操作304處的接面形成之後,在操作314處,複數個後接面形成操作可以發生。後接面形成操作包括摻雜物活化、介電體沉積、及退火操作。隨後,在操作312處,形成金屬閘極,且在操作316處,執行接點開啟蝕刻操作。在操作316之後,在操作318處,依據如圖2中所論述的一或更多個方法200使用一或更多種預矽化物處理及後續的金屬矽化物形成來形成金屬矽化物。在操作318處形成金屬矽化物之後,在操作320處,包括例如接點形成、退火、及填充的接點操作的進一步操作可以發生。
在方法300A及300B的一個實施例中,經由源極及汲極上的CVD沉積分別在操作306及318處選擇性地形成金屬矽化物,且不在用介電材料覆蓋的其他的、不合需要的區域中形成金屬矽化物。因為金屬矽化物不形成在源極及汲極以外的區域上,在方法300A及300B中不存在金屬矽化物的移除操作。在操作306及318期間的金屬矽化物的形成是完全選擇性的。
圖4A-4C是依據本揭示內容的各種實施例的MOSFET設備上的金屬矽化物形成的部分示意說明。圖4A-4C中的示例繪示依據例如上述圖2及3中所論述的彼等方法的金屬矽化物的形成。金屬矽化物形成可以因此如針對圖3所論述地在過程中的不同時點處發生。
圖4A繪示在依據圖2的子操作204A及/或204B的預矽化物處理之後的金屬矽化物或多晶金屬矽化物的形成,該等子操作用來形成高度摻雜的種子層。第一結構414包括具有通道404的基底402,取決於設備類型(例如NMOS或PMOS),該通道可以是p型通道或n型通道。將高度摻雜的種子層408形成於源極406A及汲極406B的頂部上。取決於設備是否是NMOS或PMOS設備,可以依據本揭示內容的實施例(例如上文所論述的子操作204A或204B)形成種子層408。種子層408減少了隨後形成的金屬矽化物的成核的活化能,因為摻雜物原子充當金屬矽化物層的成核位點。在此示例中,可以在NMOS腔室中使用CVD過程來形成種子層408,該NMOS腔室可以與圖1的第二腔室104類似。可以將種子層408形成到從1 nm到5 nm的厚度,且該種子層在形成時可以包括從1E19 到1E23 的摻雜物濃度。
在第一結構414上形成種子層408之後,將金屬矽化物層410形成於源極406A及汲極406B上。在一個實施例中,選擇性地將金屬矽化物層410形成於源極406A上及汲極406B上。在圖4A中的示例中,種子層408在第二結構416中仍然是可見的,且源極406A及汲極406B中的任一者或兩者的高達約5%質量可以包括種子層408。在另一個示例(未示出)中,種子層408完全(100%)被金屬矽化物層410消耗。
圖4B繪示在依據圖2中的子操作204C的預矽化物處理之後的金屬矽化物或多晶金屬矽化物的形成。圖4B繪示包括基底402及通道404的第一結構418,取決於設備類型(例如NMOS或PMOS),該通道可以是p型通道或n型通道。圖4B是藉由進一步使用例如浸漬預矽化物處理(例如上文所論述的第三子操作204C中的浸漬預矽化物處理)將源極406A及汲極406B摻雜來增加金屬矽化物的成核速率的示例。在浸漬第一結構418之後,藉由將金屬矽化物層410形成於源極406A及汲極406B上來形成第二結構420。金屬矽化物層410選擇性地形成在源極406A及汲極406B上,且不形成在結構418的其他區域(例如用介電材料覆蓋的區域)上。
圖4C繪示依據圖2中的子操作204D或204E在預矽化物處理之後形成金屬矽化物或多晶金屬矽化物以增加基底的表面粗糙度。圖4C繪示包括基底402及通道404的第一結構422,取決於設備類型(例如NMOS或PMOS),該通道可以是p型通道或n型通道。可以使用預矽化物處理(例如圖2中的第四子操作204D中的摻雜物植入及/或第五子操作204E中的物理濺射)增加表面粗糙度以形成基底表面422。隨後,藉由將金屬矽化物層414沉積在源極406A及汲極406B上來形成第二結構424。在可以與圖1中的第一腔室102類似的金屬矽化物沉積腔室中形成金屬矽化物層414。
本文中所論述的系統及方法通過在沉積金屬矽化物之前使用一或更多種預矽化物處理改善了金屬矽化物的成核。在沉積期間的金屬矽化物的成核可以取決於複數個因素,包括基底表面上的成核位點密度及金屬矽化物成核的活化能障壁。預矽化物處理藉由減少金屬矽化物層成核的活化能障壁及/或藉由提供金屬矽化物層的額外成核位點,改善了沉積期間的金屬矽化物層的成核。在一或更多種預矽化物處理之後改善的金屬矽化物層成核可能響應於該一或更多種預矽化物處理,該一或更多種預矽化物處理增加基底的摻雜物濃度,因為摻雜物原子可以充當成核位點,或增加基底的表面粗糙度以提供較大的表面面積以用於金屬矽化物層的成核。
降低的活化能障壁進一步促進了基底(例如源極及汲極)的完整性,因為金屬矽化物的形成包括了前驅物或多種前驅物與基底進行的反應且消耗了基底體積的一部分。與還未執行本文中所論述的一或更多種預矽化物處理的常規矽化物形成相比,在使用一或更多種預矽化物處理時,形成金屬矽化物的反應消耗較少的源極/汲極體積。
雖然以上所述是針對本揭示內容的實施例,但可以在不脫離本揭示內容的基本範圍的情況下設計本揭示內容的其他的及進一步的實施例,且本揭示內容的範圍是由隨後的請求項所決定的。
100:系統 102:第一腔室 104:第二腔室 106:第三腔室 108:第四腔室 110:第五腔室 112:中心傳輸腔室 114:裝載腔室 116:中心傳輸機器人 118:傳輸空間 120:控制器 200:方法 202:操作 204:操作 204A:子操作 204B:子操作 204C:子操作 204D:子操作 204E:子操作 206:操作 300A:方法 300B:方法 302:操作 304:操作 306:操作 308:操作 310:操作 312:操作 314:操作 316:操作 318:操作 320:操作 402:第二結構 404:通道 406A:源極 406B:汲極 408:種子層 410:金屬矽化物層 414:第一結構 416:第二結構 418:第一結構 420:第二結構 422:第一結構 424:第二結構
可以藉由參照實施例來獲得上文所簡要概述的本揭示內容的更詳細說明以及可以用來詳細了解本揭示內容的上述特徵的方式,附圖中繪示了該等實施例中的一些。然而,要注意,附圖僅繪示示例性實施例且因此並被不視為其範圍的限制,且可以容許其他等效的實施例。
圖1是依據本揭示內容的實施例的用於形成半導體元件的系統的示意說明。
圖2是依據本揭示內容的實施例在基底上形成金屬矽化物的方法的流程圖。
圖3A是依據本揭示內容的實施例形成MOSFET設備的方法的流程圖。
圖3B是依據本揭示內容的實施例形成MOSFET設備的另一方法的流程圖。
圖4A-4C是依據本揭示內容的各種實施例的MOSFET設備的金屬矽化物形成的部分示意說明。
為了促進了解,已儘可能使用相同的參考標號來標誌該等圖式共有的相同構件。所預期的是,可以在不另外詳述的情況下有益地將一個實施例的構件及特徵併入其他實施例。
國內寄存資訊 (請依寄存機構、日期、號碼順序註記) 無
國外寄存資訊 (請依寄存國家、機構、日期、號碼順序註記) 無
100:系統
102:第一腔室
104:第二腔室
106:第三腔室
108:第四腔室
110:第五腔室
112:中心傳輸腔室
114:裝載腔室
116:中心傳輸機器人
118:傳輸空間
120:控制器

Claims (20)

  1. 一種用於製造一MOSFET設備的系統,該系統包括: 一傳輸腔室,界定一傳輸空間; 複數個過程腔室,其中將該複數個過程腔室中的每個過程腔室耦接到該傳輸腔室; 一傳輸機器人,在該傳輸空間中且被配置為進出該傳輸腔室及該複數個過程腔室; 一控制器,包括用於設備製造的指令,其中該等指令在被一處理器執行時: 從該傳輸腔室取出一基底; 將該基底設置在該複數個過程腔室的一第一過程腔室中; 在該第一過程腔室中在該基底上執行至少一種預矽化物處理,以增加該基底內的一摻雜物的一濃度或增加該基底的一表面粗糙度;及 隨後,在該複數個過程腔室的一第二過程腔室中藉由沉積在該基底上形成一金屬矽化物。
  2. 如請求項1所述的系統,其中該複數個過程腔室包括一NMOS腔室、一PMOS腔室、一預清潔腔室、一金屬矽化物沉積腔室、或一電漿腔室。
  3. 如請求項2所述的系統,其中在該NMOS腔室、該PMOS腔室、該金屬矽化物沉積腔室、或該電漿腔室中執行該至少一種預矽化物處理。
  4. 如請求項1所述的系統,其中在包括一金屬矽化物沉積腔室的該第一過程腔室中執行該金屬矽化物沉積。
  5. 如請求項2所述的系統,其中該NMOS腔室及該PMOS腔室均是源極-汲極磊晶腔室。
  6. 如請求項1所述的系統,其中該至少一種預矽化物處理包括以下步驟:在該基底上形成一種子層、將該基底浸漬在一或更多種前驅物來源中、將該摻雜物植入於該基底中、或物理濺射該基底。
  7. 一種形成一金屬矽化物的方法,該方法包括以下步驟: 在一基底上形成一種子層,其中該種子層包括厚度從1 nm到5 nm的一摻雜的矽層;及 隨後,藉由CVD沉積在該種子層上形成一金屬矽化物層。
  8. 如請求項7所述的方法,其中該種子層包括從1E19 到1E23 的一摻雜物濃度。
  9. 如請求項8所述的方法,其中該種子層內的該摻雜物包括P、As、B、Ga、或Ge。
  10. 如請求項7所述的方法,更包括以下步驟:在該基底上形成該種子層之前,在該基底上執行一預清潔操作以從該基底移除氧化物。
  11. 如請求項7所述的方法,其中在一第一過程腔室中形成該種子層,且在一第二過程腔室中形成該金屬矽化物層。
  12. 如請求項11所述的方法,更包括以下步驟:在形成該種子層的該步驟之後通過一傳輸腔室將該基底從該第一過程腔室傳輸到該第二過程腔室,其中該基底在該傳輸步驟期間不暴露於環境空氣。
  13. 一種形成一金屬矽化物的方法,該方法包括以下步驟: 在一基底上執行一預矽化物處理,其中該預矽化物處理包括以下步驟中的一或更多者: 形成一種子層,其中該種子層為1 nm到5 nm厚且包括從1E19 到1E23 的一摻雜物濃度; 將該基底暴露於一或更多種前驅物來源,以形成該基底的一摻雜表面; 將一摻雜物植入於該基底中;及 蝕刻該基底的一表面;及 在該基底上執行該預矽化物處理之後,在處理過的該基底上形成一金屬矽化物層。
  14. 如請求項13所述的方法,其中該種子層包括從1E19 到1E23 的一濃度下的一摻雜物。
  15. 如請求項14所述的方法,其中該種子層內的該摻雜物包括P、As、B、Ga、或Ge。
  16. 如請求項13所述的方法,其中在一NMOS腔室、一PMOS腔室、一金屬矽化物沉積腔室、或一電漿腔室中執行該預矽化物處理。
  17. 如請求項16所述的方法,其中該NMOS腔室及該PMOS腔室中的每一者是一源極-汲極磊晶腔室。
  18. 如請求項13所述的方法,其中將該NMOS腔室、該PMOS腔室、該金屬矽化物沉積腔室、及該電漿腔室中的每一者耦接到一傳輸腔室。
  19. 如請求項13所述的方法,其中執行該預矽化物處理的該步驟發生在一第一過程腔室中,且形成該金屬矽化物層的該步驟發生在一第二過程腔室中。
  20. 如請求項19所述的方法,更包括以下步驟:在執行該預矽化物處理之前,將該基底定位在一預清潔過程腔室中,及執行一預清潔操作。
TW108115492A 2018-07-05 2019-05-06 矽化物膜成核 TWI805752B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201862694294P 2018-07-05 2018-07-05
US62/694,294 2018-07-05

Publications (2)

Publication Number Publication Date
TW202015097A true TW202015097A (zh) 2020-04-16
TWI805752B TWI805752B (zh) 2023-06-21

Family

ID=69060280

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108115492A TWI805752B (zh) 2018-07-05 2019-05-06 矽化物膜成核

Country Status (5)

Country Link
US (2) US11081358B2 (zh)
KR (1) KR102481414B1 (zh)
CN (1) CN112106186A (zh)
TW (1) TWI805752B (zh)
WO (1) WO2020009742A1 (zh)

Family Cites Families (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3781787B2 (ja) * 1993-10-26 2006-05-31 株式会社半導体エネルギー研究所 多目的基板処理装置およびその動作方法および薄膜集積回路の作製方法
JP3804881B2 (ja) * 1996-04-29 2006-08-02 株式会社半導体エネルギー研究所 半導体装置の作製装置および半導体装置の作製方法
US5994210A (en) * 1997-08-12 1999-11-30 National Semiconductor Corporation Method of improving silicide sheet resistance by implanting fluorine
US6208751B1 (en) 1998-03-24 2001-03-27 Applied Materials, Inc. Cluster tool
KR100369340B1 (ko) * 2000-12-28 2003-01-24 주식회사 하이닉스반도체 티타늄실리사이드의 형성 방법
US20030029715A1 (en) * 2001-07-25 2003-02-13 Applied Materials, Inc. An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems
US8900366B2 (en) * 2002-04-15 2014-12-02 Samsung Display Co., Ltd. Apparatus for depositing a multilayer coating on discrete sheets
US7431795B2 (en) 2004-07-29 2008-10-07 Applied Materials, Inc. Cluster tool and method for process integration in manufacture of a gate structure of a field effect transistor
US20060118892A1 (en) * 2004-12-02 2006-06-08 Taiwan Semiconductor Manufacturing Company, Ltd. Methods and Structures to Produce a Strain-Inducing Layer in a Semiconductor Device
US7255747B2 (en) 2004-12-22 2007-08-14 Sokudo Co., Ltd. Coat/develop module with independent stations
JP4353903B2 (ja) * 2005-01-07 2009-10-28 東京エレクトロン株式会社 クラスタツールの処理システム
US7485556B2 (en) * 2005-03-18 2009-02-03 Applied Materials, Inc. Forming metal silicide on silicon-containing features of a substrate
US7105412B1 (en) * 2005-03-22 2006-09-12 United Microelectronics Corp. Silicide process utilizing pre-amorphization implant and second spacer
DE102005024911A1 (de) * 2005-05-31 2006-12-28 Advanced Micro Devices, Inc., Sunnyvale Technik zur Reduzierung der Siliziumungleichförmigkeiten durch Anpassen eines vertikalen Dotierprofiles
WO2006130375A2 (en) * 2005-05-31 2006-12-07 Advanced Micro Devices, Inc. Technique for reducing silicide non-uniformities by adapting avertical dopant profile
US7461479B2 (en) * 2005-06-01 2008-12-09 Gaudet Sr Donald J Plant protection system
US7329582B1 (en) * 2005-06-15 2008-02-12 Advanced Micro Devices, Inc. Methods for fabricating a semiconductor device, which include selectively depositing an electrically conductive material
CN101415865B (zh) * 2006-04-07 2015-10-07 应用材料公司 用于外延膜层形成的集束型设备
EP2215652A4 (en) * 2007-11-02 2011-10-05 Applied Materials Inc PLASMA TREATMENT BETWEEN DECISION PROCESSES
US8304319B2 (en) * 2010-07-14 2012-11-06 Taiwan Semiconductor Manufacturing Company, Ltd. Method for making a disilicide
US20130049199A1 (en) * 2011-08-31 2013-02-28 International Business Machines Corporation Silicidation of device contacts using pre-amorphization implant of semiconductor substrate
KR101952119B1 (ko) * 2012-05-24 2019-02-28 삼성전자 주식회사 메탈 실리사이드를 포함하는 반도체 장치 및 이의 제조 방법
US20140057399A1 (en) * 2012-08-24 2014-02-27 International Business Machines Corporation Using Fast Anneal to Form Uniform Ni(Pt)Si(Ge) Contacts on SiGe Layer
US8809920B2 (en) * 2012-11-07 2014-08-19 International Business Machines Corporation Prevention of fin erosion for semiconductor devices
CN104167359B (zh) * 2013-05-17 2018-05-15 中国科学院微电子研究所 半导体器件制造方法
JP6201131B2 (ja) * 2013-07-25 2017-09-27 株式会社ユーテック 膜の製造方法及びマルチチャンバー装置
US10157995B2 (en) * 2013-08-09 2018-12-18 Taiwan Semiconductor Manufacturing Company, Ltd. Integrating junction formation of transistors with contact formation
US9613818B2 (en) * 2015-05-27 2017-04-04 Lam Research Corporation Deposition of low fluorine tungsten by sequential CVD process
US9793204B2 (en) * 2015-11-17 2017-10-17 Taiwan Semiconductor Manufacturing Company Limited Method of manufacturing semiconductor structure comprising plurality of through holes using metal hard mask
KR102253546B1 (ko) 2016-09-19 2021-05-18 어플라이드 머티어리얼스, 인코포레이티드 도핑된 게르마늄 형성 방법
CN110249417B (zh) 2017-02-10 2023-10-24 应用材料公司 用于深沟槽内的低温选择性外延的方法及设备
US10347581B2 (en) * 2017-03-22 2019-07-09 International Business Machines Corporation Contact formation in semiconductor devices
US9923081B1 (en) 2017-04-04 2018-03-20 Applied Materials, Inc. Selective process for source and drain formation
US10535527B2 (en) * 2017-07-13 2020-01-14 Applied Materials, Inc. Methods for depositing semiconductor films

Also Published As

Publication number Publication date
US20220033970A1 (en) 2022-02-03
US20200013624A1 (en) 2020-01-09
TWI805752B (zh) 2023-06-21
WO2020009742A1 (en) 2020-01-09
US11081358B2 (en) 2021-08-03
KR102481414B1 (ko) 2022-12-23
US11901182B2 (en) 2024-02-13
KR20200145840A (ko) 2020-12-30
CN112106186A (zh) 2020-12-18

Similar Documents

Publication Publication Date Title
US7611973B2 (en) Methods of selectively forming epitaxial semiconductor layer on single crystalline semiconductor and semiconductor devices fabricated using the same
CN1315196C (zh) 肖特基势垒晶体管及其制造方法
JP2978736B2 (ja) 半導体装置の製造方法
JP2007523491A (ja) 半導体製造用のゲート電極ドーパント活性化方法
US8507350B2 (en) Fabricating method of semiconductor elements
US9490125B2 (en) Methods for forming a molecular dopant monolayer on a substrate
KR102163383B1 (ko) 실리사이드 형성을 위한 방법들
TWI484556B (zh) 在矽基材上形成高生長速率低電阻率的鍺膜之方法(二)
TWI805752B (zh) 矽化物膜成核
JP3116163B2 (ja) 絶縁ゲート電界効果トランジスタの製造方法
US20060073656A1 (en) Method and system for improved nickel silicide
US20060121656A1 (en) Methods of manufacturing semiconductor devices
JP2009130171A (ja) 半導体装置及びその製造方法
JPH0950997A (ja) 半導体装置およびその製造方法
JPH07183511A (ja) 半導体装置の製造方法
US20080124849A1 (en) Fabricating method of semiconductor device
KR20020095698A (ko) 반도체소자의 저접합 형성방법
KR20050002486A (ko) 반도체 소자의 콘택 형성방법
WO2006095383A1 (ja) Pチャネル不純物領域を有する半導体装置及びその製造方法
JPH03178137A (ja) 絶縁ゲート電界効果トランジスタの製造方法
KR20080057397A (ko) 반도체 소자의 제조방법
KR20050101607A (ko) 반도체 소자의 콘택 플러그 형성방법
KR20000045876A (ko) 반도체 장치의 코발트 살리사이드막 형성 방법
KR20030055686A (ko) 반도체 소자의 금속 실리사이드막 제조방법