TW201926651A - 保護結構以及製作三維記憶體的周邊電路的方法 - Google Patents
保護結構以及製作三維記憶體的周邊電路的方法 Download PDFInfo
- Publication number
- TW201926651A TW201926651A TW107134942A TW107134942A TW201926651A TW 201926651 A TW201926651 A TW 201926651A TW 107134942 A TW107134942 A TW 107134942A TW 107134942 A TW107134942 A TW 107134942A TW 201926651 A TW201926651 A TW 201926651A
- Authority
- TW
- Taiwan
- Prior art keywords
- dielectric
- dielectric layer
- substrate
- region
- area
- Prior art date
Links
Classifications
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10B—ELECTRONIC MEMORY DEVICES
- H10B43/00—EEPROM devices comprising charge-trapping gate insulators
- H10B43/20—EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
- H10B43/23—EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
- H10B43/27—EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10B—ELECTRONIC MEMORY DEVICES
- H10B43/00—EEPROM devices comprising charge-trapping gate insulators
- H10B43/30—EEPROM devices comprising charge-trapping gate insulators characterised by the memory core region
- H10B43/35—EEPROM devices comprising charge-trapping gate insulators characterised by the memory core region with cell select transistors, e.g. NAND
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10B—ELECTRONIC MEMORY DEVICES
- H10B43/00—EEPROM devices comprising charge-trapping gate insulators
- H10B43/40—EEPROM devices comprising charge-trapping gate insulators characterised by the peripheral circuit region
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10B—ELECTRONIC MEMORY DEVICES
- H10B43/00—EEPROM devices comprising charge-trapping gate insulators
- H10B43/50—EEPROM devices comprising charge-trapping gate insulators characterised by the boundary region between the core and peripheral circuit regions
Landscapes
- Semiconductor Memories (AREA)
- Formation Of Insulating Films (AREA)
- Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
Abstract
半導體記憶體裝置的實施例包括具有第一區、第二區以及第三區的基底,第一區具有多個周邊元件,第二區具有一或多個記憶體陣列,且第三區位於第一區與第二區之間。半導體記憶體裝置也包括用於保護周邊元件的一保護結構。半導體記憶體裝置的周邊元件的保護結構包括第一介電層以及設置於第一介電層上的阻障層。半導體記憶體裝置的周邊元件的保護結構另包括形成於阻障層的側壁與第一介電層的側壁上的介電間隙壁,其中保護結構設置於第一區與第三區的至少一部分之上。
Description
本申請案主張於2017年11月23號提交的中國專利申請號第201711183467.4號的優先權,其全部揭示內容皆以引用的方式併入本文中。
本揭露關於半導體技術領域,尤指形成三維(3D)記憶體的方法。
平面記憶體單元係透過改善製程技術、電路設計、演算法程式設計與製作方法來縮小至較小的尺寸。然而,隨著記憶體單元的特徵尺寸接近下限時,平面製程與製作技術變得艱難且耗費成本,因此平面記憶體單元的記憶體密度接近上限。3D記憶體架構可處理平面記憶體單元的密度受限的問題。
三維記憶體的周邊電路的保護結構以及形成其的方法的實施例描述於本揭露中。
在一些實施例中,揭露一種保護記憶體裝置的周邊電路的方法。此方法包括於基底上的周邊區中形成多個周邊元件。此方法另包括於周邊元件上設置的一保護層,然後於第一保護層上設置一阻障層。此方法又包括移除阻障層的一部分與保護層,並曝露出記憶體陣列中的基底的上表面的一部分。此方法還包括於殘留阻障層與基底上表面曝露出的部分上設置一第二保護層。此方法再包括蝕刻第二保護層以及形成一保護間隙壁。
在一些實施例中,第一保護層的設置包括使用化學氣相沉積於周邊元件上沉積氮化矽。
在一些實施例中,阻障層的設置包括使用化學氣相沉積沉積二氧化矽。
在一些實施例中,阻障層的部分與第一保護層的移除以及記憶體陣列中基底上表面的部分的曝露包括使用例如乾蝕刻的技術。
在一些實施例中,於殘留阻障層與基底上表面的曝露部分上設置第二保護層包括使用化學氣相沉積、物理氣相沉積(PVD)、濺鍍、原子層沉積(ALD)或使用加熱系統的任何化學氣相沉積沉積氮化矽。
在一些實施例中,保護間隙壁具有介於約40奈米與約60奈米之間的厚度。
在一些實施例中,周邊電路的保護結構包括具有周邊元件的基底、設置於周邊元件的頂部上的第一保護層、設置於第一保護層的頂部上的阻障層、以及位於阻障層的側壁上的保護間隙壁,其中保護間隙壁與第一保護層彼此相鄰。
在一些實施例中,阻障層包括傾斜的側壁。
在一些實施例中,介電間隙壁包括形成於阻障層的傾斜側壁上的氮化矽。
在一些實施例中,介電間隙壁具有介於約40奈米與約60奈米之間的厚度。
本領域的通常知識者可根據本揭露的說明書、申請專利範圍以及圖式而理解本揭露的其他方面。
儘管本文討論了具體的結構及配置,但應該理解,這僅僅是為了說明及示例的目的而完成的。相關領域的技術人員應可理解,在不脫離本揭露的精神及範圍的情況下,可以使用其他結構及配置。對於相關領域的技術人員顯而易見的是,本揭露還可以用於各種其他應用中。
值得注意的是,在說明書中對提及「一個實施例」、「一實施例」、「示範性實施例」、「一些實施例」等的引用表示所描述的實施例可以包括特定的特徵、結構或特性,但並非每個實施例都一定需要包括此特定的特徵、結構或特性,而且這些用語不一定指相同的實施例。此外,當特定特徵、結構或特性結合實施例描述時,無論是否於文中明確教示,結合其他實施例來實現這些特徵、結構或特性皆屬於相關領域的技術人員的知識範圍所及。
一般而言,術語可以至少部分地根據上、下文中的用法來理解。例如,如本文所使用的術語「一個或多個」可用於以單數意義描述任何特徵、結構或特性,或可用於描述特徵、結構或特徵的複數組合,至少可部分取決於上、下文。類似地,術語諸如「一」、「一個」或「該」也可以被理解為表達單數用法或傳達複數用法,至少可部分取決於上、下文。此外,術語「基於」也可理解為非意圖一定要表達排除原因的組合,而是可允許額外存在非必要明確描述的原因,至少可部分取決於上、下文。
應該容易理解的是,本文中的「在...上面(on)」、「在...上方 (above)」及「在...之上(over)」的含義應該以最寬泛的方式來解釋,使得「在...上」不僅意味著「直接在」某物「上」,而且還包括在某物「上」且兩者之間具有中間特徵或中間層,並且「在...上方」或「在...之上」不僅意味著「在」某物「上方」或在某物「之上」的含義,而且還可以包括其「在」某物「上方」或「之上」且其間沒有中間特徵或中間層(即,直接在某物上)的含義。
此外,為了便於描述,可以在說明書使用諸如「在...之下(beneath)」、「在...下方(below)」、「較低(lower)」、「在...上方(above)」、「較高(upper)」等空間相對術語來描述一個元件或特徵與另一個或多個元件或特徵的關係,如圖式中所表示者。除了圖式中描繪的方向之外,這些空間相對術語旨在涵蓋使用或步驟中的元件的不同方位或方向。該元件可以其他方式定向(例如以旋轉90度或以其它方向來定向),並且同樣能相應地以說明書中所使用的空間相關描述來解釋。
如本文所使用的,術語「基底」是指在其上添加後續材料層的材料。基底包括上表面與下表面。基底的上表面是形成半導體裝置之處,因此半導體裝置形成於基底的上側。下表面與上表面相對,因此基底下側與基底上側相對。基底本身可以被圖案化。添加在基底頂部的材料可以被圖案化或可以保持未圖案化。此外,基底可以包括多種半導體材料,例如矽、鍺、砷化鎵、磷化銦等。或者,基底可以由非導電材料製成,例如玻璃、塑料或藍寶石晶圓。
如本文所使用的,術語「層」是指一材料部分,其一區域具有一厚度。一層具有上側與下側,其中該層的下側相對地接近基底,而上側相對地遠離基底。一層的範圍可以在整個下層或上層結構上延伸,或者其範圍可以小於下層或上層結構的範圍。此外,一層可以為均勻或不均勻連續結構的一區域,其厚度可小於該連續結構的厚度。例如,一層可以設置於該連續結構的上表面及下表面之間或在該連續結構的上表面及下表面之間的任何一對水平平面之間。一層可以水平地、垂直地及/或沿著漸縮表面延伸。一基底可以為一層,其可以包括一層或多層,及/或可以在其上面及/或下面具有一層或多層。一層可以包含多層。例如,互連層可以包括一個或多個導體及接觸層(其中形成有接觸、互連線及/或通孔)以及一個或多個介電層。
如本文所使用的,術語「名義上(nominal)/名義上地(nominally)」是指在產品或製程的設計階段期間設定的組件或製程操作的特性或參數的期望值或目標值,以及高於及/或低於期望值的數值範圍。數值範圍可能由於製造工藝或公差而有輕微變化。如本文所使用的術語「約/大約」表示可能會隨著與對象半導體裝置相關聯的特定技術點而改變的給定量數值。基於特定的技術點,術語「約/大約」可以指示出給定量數值,例如在該數值的10-30%內變化(例如,該數值的±10%、±20%或±30%)。
在本揭露中,術語「水平的/水平地/橫向的/橫向地」名義上意指平行於基底的橫向表面。
如本文所使用的,術語「三維(3D)記憶體裝置」是指一種於橫向定向的基底上具有垂直定向的記憶體單元電晶體串(例如稱為「記憶體串」,諸如NAND串(NAND string))半導體裝置,使得記憶體串相對於基底在垂直方向上延伸。如本文所使用的,術語「垂直/垂直地」名義上是指垂直於基底的橫向表面。
在一些實施例中,3D記憶體的NAND串包括垂直延伸貫穿多個導體/介電質層對的半導體柱(例如矽通道)。此多個導體/介電質層對在本文中也可意指為「導體/介電質交替堆疊」。導體層與半導體柱的交叉處形成一記憶體單元。導體/介電質交替堆疊的導體層可電連接至後段製程(back end of line)的一字元線,其中字元線可電連接一或多個控制閘極。半導體柱的頂部(例如電晶體汲極區)可連接至一位元線(電連接一或多個半導體柱)。字元線或位元線一般彼此垂直設置(例如,分別位於列與行),以形成記憶體的陣列。記憶體裝置可具有一或多個陣列區塊。陣列區塊也可區分為多個較小的陣列區段。陣列區塊為記憶體裝置中的核心區域,用以執行儲存功能。為了達到較高位元密度,可大幅地增加垂直3D記憶體堆疊的數量,如此將增加製作的複雜性與成本。
記憶體裝置具有另一區域,稱為周邊,其對核心提供支援功能。周邊區包括許多數位、類比及/或混和訊號電路,例如列與行解碼器(decoder)、驅動器(driver)、頁緩衝器(page buffer)、感測放大器(sense amplifier)、時序器與控制器,以及類似的電路。周邊電路可使用主動及/或被動半導體元件,例如電晶體、二極體、電容、電阻等,對本領域技術人員而言均為明顯的。
為達到所需效能與可靠度及/或容納高深寬比的3D記憶體陣列堆疊,周邊元件係先製作於一基底上,然後進行形成3D記憶體陣列的製程。最後,形成電連接周邊元件與記憶體單元的電性接觸結構,並完成具有後段製程金屬連接的3D記憶體裝置。
在3D記憶體陣列的製作過程中,化學氣體,例如氨(NH3
)、氧(O2
)、烷(CH4
)、甲矽烷(SiH4
)等,係用於各種薄膜沉積或蝕刻。在高溫(例如700℃以上)或在強電場(例如高直流偏壓或高射頻偏壓)下,氣體物質可被離子化並產生大量的離子,例如氫離子(H+
)或氧離子(O2-
)等。周邊元件可在3D記憶體陣列的製程之前透過一或多個介電層保護。
為降低每位元的成本,臨界特徵的尺寸積極的縮小,包括周邊與位於周邊與核心之間的邊界區域的總區域,其原因在於這些區域並未貢獻記憶體容量。若是周邊元件太靠近3D記憶體陣列區塊,則周邊元件可能遇到性能降低及/或可靠度下降。舉例來說,在金屬氧化物半導體場效應電晶體(metal oxide semiconductor field effect transistor,MOSFET)中的高漏電流及/或閥值電壓(Vt
)的偏移將成為問題。此效應可起因於粒子或粒子(例如氫離子(H+
)或氧離子(O2-
))在記憶體陣列的高溫製程中沿著保護介電層的邊界介面所產生的橫向擴散。元件效能及/或元件可靠度的改變量取決於電路布局以及從周邊元件到記憶體陣列的距離,以及用於製作記憶體陣列的製程條件(例如溫度、氣體濃度等),其導致周邊電路效能與記憶體功能的不確定性。
本揭露的不同實施例提供用於保護3D記憶體裝置的周邊電路與元件的方法與結構。在本揭露中,額外的介電間隙壁形成於保護介電層的側壁上,以避免離子或粒子在後續製程中可能的擴散或侵蝕。因此,所揭露的方法與結構可在一般晶片設計與製作上改善周邊電路的效能與可靠度。
第1圖繪示示例性三維(3D)記憶體結構100的剖視示意圖,其中3D記憶體結構包括具有一第一區103、一第二區105以及一第三區106的一基底101,第一區103具有周邊元件,且第三區106位於第一區103與第二區105之間。如本文所使用的,第一區也意指記憶體的「周邊」或「周邊區域」,且第二區也意指記憶體的「陣列」、「陣列區塊」或「陣列區域」(一或多個陣列區塊可形成於此區域中)。如本文所使用的,第三區也可意指「邊界」,即位於記憶體的周邊103與陣列105之間的區域。
依據本揭露的一些實施例,3D記憶體結構100的形成包括於周邊103中形成周邊元件、於陣列105中形成多個主動元件區域以及於基板101上的邊界106中形成一或多個隔離結構。
在一些實施例中,用於3D記憶體結構100的基底101包括任何適合用於支撐3D記憶體裝置的材料。例如,基底101可包括矽、矽鍺(SiGe)、碳化矽(silicon carbide)、絕緣體上矽(silicon on insulator,SOI)、絕緣體上鍺(germanium on insulator,GOI)、玻璃、氮化鎵(gallium nitride)、砷化鎵(gallium arsenide)等及/或上述的組合。
周邊103可包括多個任何適合的半導體元件,例如多個金屬氧化物半導體場效應電晶體(MOSFET)、多個二極體、多個電阻、電容等。周邊103中的半導體元件可用於支援記憶體核心儲存功能的數位、類比及/或混合訊號電路的設計,例如列與行解碼器、驅動器、頁緩衝器、感測放大器、時序器與控制器。在半導體元件中,P型及/或N型MOSFET廣泛地應用於周邊電路設計中,並在本揭露的描述中作為範例。然而,周邊元件並不限為MOSFET。其他周邊元件的結構,例如二極體、電阻、電容、電感器等,可在MOSFET製作過程中透過不同遮罩設計與布局同時形成。為了形成不同於MOSFET的周邊元件,可在MOSFET的製程流程中增加或修改製程步驟,例如獲得不同摻雜物輪廓、薄膜厚度或材料堆疊等。在一些實施例中,不同於MOSFET的周邊元件也可利用額外設計及/或微影遮罩等級製作成,以達到特定的電路需求。
周邊MOSFET 107可為P通道型MOSFET或N通道型MOSFET的任一者,且可包括,但不限為,由淺溝槽隔離(STI)109(也可稱為隔離結構)圍繞的一主動元件區域、形成於主動元件區域中具有N型或P型摻雜的井區111、以及包括閘極介電質、閘極導體及/或閘極硬遮罩的閘極堆疊113。周邊MOSFET 107也可包括源極/汲極延伸及/或光暈區域115、閘極間隙壁117以及位於閘極堆疊113的每一側的源極/汲極119。周邊MOSFET 107還可包括位於源極/汲極的頂部中的矽化物接觸區域(圖為示)。其他已知的元件也可形成於周邊區域中。周邊元件(例如P型及/或N型MOSFET)的結構與製作方法對本領域技術人員為已知。
STI 109可透過使用微影與蝕刻圖案化基底、填入絕緣材料以及將絕緣材料研磨至於基底101上形成共平面表面的步驟所形成。用於STI 109的絕緣材料可包括氧化矽、氮氧化矽、四乙氧基矽烷(TEOS)、低溫氧化物(low-temperature oxide,LTO)、高溫氧化物(high temperature oxide,HTO)、氮化矽等。用於STI 109的絕緣材料可使用例如化學氣相沉積(CVD)、物理氣相沉積(PVD)、電漿輔助CVD(PECVD)、低壓CVD(LPCVD)、高密度電漿(HDP)CVD、快速升溫CVD(RTCVD)、有機金屬CVD(MOCVD)、原子層沉積(atomic layer deposition,ALD)、濺鍍、熱氧化或氮化、使用加熱系統(furnace system)的CVD、任何其他適合的沉積方法及/或上述的組合的技術來設置。STI 109的形成也可包括高溫退火步驟,以提高所設置的絕緣材料的密度,從而提升電性隔離。對本領域技術人員而言,顯而易見的也可使用其他STI結構。
周邊MOSFET 107在平行於基底101表面的平面上被STI 109圍繞。STI 109的深度及/或井區111的深度決定周邊MOSFET 107的主動元件區域的垂直尺寸。周邊MOSFET 107的主動元件區域可在基底101上具有超過約200奈米的厚度。在一些實施例中,周邊MOSFET 107的主動元件區域可在基底101上具有小於約200奈米的厚度。舉例來說,周邊MOSFET的主動元件區域的厚度可為在SOI基底上的頂半導體厚度,並可小約50奈米,其中下方埋入的氧化物可作為附加的元件隔離。
周邊MOSFET 107的井區111可包括N通道型MOSFET的P型摻雜以及P通道型MOSFET的N型摻雜,分別稱為P型井與N型井。摻雜物輪廓以及井區111的濃度會影響周邊MOSFET 107的元件特性。對於具有低閥值電壓(Vt
)的MOSFET元件而言,井區111可摻雜有較低濃度,且可形成低壓P型井或低壓N型井。對具有高Vt
的MOSFET而言,井區111可摻雜有較高濃度,且可形成高壓P型井或高壓N型井。在一些實施例中,為了對P型基底101提供電隔離,N型深井111n可形成於具有高Vt
的N通道型MOSFET的高壓P型井111p下。
N型井的形成可包括任何適合的N型摻雜物,例如磷、砷、銻等、及/或上述之任何組合。P型井的形成可包括任何適合的P型摻雜物,例如硼。摻雜物的摻入可透過離子佈植,並隨後進行活化退火,或者透過在主動元件區域的磊晶過程中即時(in-situ)摻雜。
周邊MOSFET 107的閘極堆疊113可透過「先閘極(gate first)」方式形成,其中閘極堆疊113是在源極/汲極形成之前被設置並圖案化。周邊MOSFET 107的閘極堆疊113也可透過「置換(replacement)」方式形成,其中可先形成一犧牲閘極堆疊,然後在源極/汲極形成之後置換為高介電常數介電層與閘極導體。
在一些實施例中,閘極介電質可由氧化矽、氮化矽、氮氧化矽及/或高介電常數介電薄膜,例如氧化鋡(hafnium oxide)膜、氧化鋯(zirconium oxide)膜、氧化鋁膜、氧化鉭(tantalum oxide)膜、氧化鎂(magnesium oxide)膜、氧化鑭(lanthanum oxide)膜及/或上述的組合。閘極介電質可透過任何適合的方法設置,例如CVD、PVD、PECVD、LPCVD、RTCVD、濺鍍、MOCVD、ALD、熱氧化或氮化、使用加熱系統的CVD、任何其他適合的沉積方法、及/或上述的組合。
在一些實施例中,閘極導體可由例如鎢(tungsten)、鈷(cobalt)、鎳(nickel)、銅(copper)或鋁(aluminum)、及/或上述的組合的金屬所製成。在一些實施例中,閘極導體也可包括導電材料,例如氮化鈦(TiN)、氮化鉭(TaN)等。閘極導體可由任何適合的沉積方法所形成,例如濺鍍、熱蒸鍍、電子束沉積、ALD、PVD及/或上述的組合。
在一些實施例中,閘極導體也可包括多晶半導體,例如多晶矽、多晶鍺、多晶鍺矽以及任何其他適合材料、及/或上述的組合。在一些實施例中,多晶材料可摻入任何類型的摻雜物,例如硼、磷或砷等。在一些實施例中,閘極導體也可為非晶半導體。
在一些實施例中,閘極導體可由包括矽化鎢(WSiX
、矽化鈷(CoSiX
)、矽化鎳(NiSiX
)或矽化鋁(AlSiX
)的金屬矽化物製成。金屬矽化物材料的形成可包括使用與上述類似的技術形成金屬層以及多晶半導體。金屬矽化物的形成也可包括在已沉積的金屬層與多晶半導體層上施以熱退火製程,然後移除未反應的金屬。
閘極間隙壁117可透過沉積絕緣材料以及隨後進行非等向性蝕刻所形成。閘極間隙壁117的絕緣材料可為任一種絕緣體,包括氧化矽、氮化矽、氮氧化矽、TEOS、LTO、HTO等。閘極間隙壁117的設置可使用例如CVD、PVD、PECVD、LPCVD、RTCVD、MOCVD、ALD、濺鍍、使用加熱系統的CVD、任何其他適合的沉積方法、及/或上述的組合的技術。閘極間隙壁117的非等向性蝕刻包括乾蝕刻,例如反應性離子蝕刻(reactive ion etching,RIE)。
位於源極/汲極119之間的閘極堆疊113的長度L為MOSFET的重要特徵。閘極長度L決定MOSFET的驅動電流的大小,因此針對邏輯電路而言可積極地縮小。閘極長度L可小於約100奈米。在一些實施例中,閘極長度可位於介於約5奈米與30奈米之間的範圍中。具有此小尺寸的閘極堆疊的圖案化非常具有挑戰性,且可使用包括光學近似修正(optical proximity correction)、雙重曝光及/或雙重蝕刻、自對準雙重圖案化(self-aligned double patterning)等的技術。在一些實施例中,虛置閘極113d可由相同材料所製成,並與閘極堆疊113由相同製程形成。然而,虛置閘極113d並未對周邊電路提供電性功能。
在一些實施例,周邊MOSFET 107的源極/汲極119可摻入有高濃度摻雜物。針對N型MOSFET,源極/汲極119的摻雜物可包括任何適合的N型摻雜物,例如磷、砷、銻等、及/或上述的任何組合。對P型MOSFET,源極/汲極119的摻雜物可包括任何適合的P型摻雜物,例如硼。摻雜物的摻入可透過離子佈植以及隨後的摻雜物活化退火來達成。周邊MOSFET的源極/汲極119可由與基底101相同的材料所製成,例如矽。在一些實施例中,周邊MOSFET 107的源極/汲極119可由不同於基底101的材料所製成,以達到高效能。舉例來說,在矽基底上,P型MOSFET的源極/汲極119可包括矽鍺,且N型MOSFET的源極/汲極119可包括碳摻雜。具有不同材料的源極/汲極119的形成可包括對在源極/汲極區域中的基底材料回蝕刻,並使用例如磊晶的技術設置新的源極/汲極材料。源極/汲極119的摻雜也可透過在磊晶的過程中即時摻雜來達成。
周邊MOSFET 107也可選擇性具有源極/汲極延伸及/或光暈區域115,沿著閘極堆疊113的每一側。源極/汲極延伸及/或光暈區域115位於閘極堆疊下的主動元件區域內,並主要用於提升具有通道長度小於約0.5微米的周邊MOSFET 107的短通道控制。源極/汲極延伸及/或光暈區域115的形成可類似於源極/汲極119的形成,但可使用不同的佈植條件(例如,劑量、角度、能量、種類等),以獲得最佳化的摻雜輪廓、深度或濃度。
由於3D記憶體裝置操作在低訊號雜訊條件下,因此在周邊的半導體元件需具有可靠的效能以及低漏電流。舉例來說,在感測放大器中,周邊電晶體需具有優異的閥值電壓(Vt
)匹配。在列或行解碼器中,電晶體需提供較高偏壓,以驅動記憶體單元。為了達到快速從記憶體陣列讀取以及快速寫入至記憶體陣列,周邊元件也需具有良好的短通道控制的高效能(例如,高驅動電流)。
為滿足周邊電路不同功能的需求,可製作出具有不同結構與特性的MOSFET。舉例來說,具有較厚閘極介電層(例如氧化矽及/或氧化鋡)的MOSFET可應用至具有高電壓偏壓的應用中。在另一範例中,閘極堆疊可包括單一功函數金屬與雙介電常數介電材料,以使MOSFET具有不同Vt
。
周邊MOSFET 107可形成在具有平的主動元件區域的基底101上(如第1圖所示),其中MOSFET的通道方向與電流流向平行於基底的上表面101s。在一些實施例中,周邊MOSFET 107也可形成於具有3D主動元件區域的基底101上,例如所謂的「FINFET」,其形狀類似「鰭(FIN)」(圖未示),其中MOSFET的閘極堆疊纏繞FIN,且MOSFET的通道沿著FIN的三側(閘極下方的頂部與側壁)。FINFET元件的結構與方法對本領域技術人員而言為已知,且在本揭露中不再進一步討論。
周邊元件的結構與製作製程並不限於上述的結構與製程。也可在任何所述製程之前、之後或之前進行其他製程步驟。所述製程的順序也可為不同的順序,製程步驟可省略,並可為任何組合形式,對本領域技術人員而言均為明顯的。
3D記憶體結構100的陣列105(如第1圖所示),也包括一或多個隔離結構,例如STI 109a與井區111a。陣列105中的STI 109a可類似周邊103中的STI 109,且可使用相同製程在相同時間形成。在一些實施例中,假如STI 109a的形成在不同的製程步驟及/或使用不同的微影遮罩時,陣列105中的STI 109a相較於周邊103的STI 109可具有不同深度或填有不同的材料。陣列105的主動元件區域在平行於基底的上表面101s的平面上被STI 109a所環繞。
井區111a形成於陣列105的主動元件區域中。井區111a可包括高壓P型井與N型深井,類似周邊103中具有高Vt
的N通道型MOSFET的111p與111n,並可使用相同製程在相同時間形成。陣列105的井區111a也可具有不同於周邊103中的井區111的摻雜物輪廓(例如深度、濃度、摻雜物種類等),且可透過不同的佈植步驟及/或微影遮罩來形成。陣列105可包括一或多個井區111a,其中井區111a可與一或多個STI 109a分隔開。具有多個井區110a的陣列可具有類似的摻雜輪廓或可具有不同的摻雜輪廓。
邊界106將周邊103與陣列105分隔開,且包括隔離結構,例如STI 109。在一些實施例中,邊界106也可包括虛置閘極113d,以改善閘極堆疊圖案化的製程變異。虛置閘極113d不提供電性功能。
在完成周邊元件的前段製程(front-end-of-line)之後,可形成第1圖中的3D記憶體結構100。
參考第2圖,第一介電層232可設置於在基底101上的3D記憶體結構(如第1圖所示)並位於包括周邊103、邊界106以及陣列105的區域中。如本文所使用的,第一介電層也意指「第一保護層」。第一介電層232可具有位於介於約10奈米與約100奈米之間的範圍中。在一些實施例中,第一介電層232具有介於約20奈米與約40奈米之間的厚度。
在一些實施例中,第一介電層232可包括任何適合的絕緣材料,例如氮化矽或氮氧化矽。在一些實施例中,第一介電層232也可包括高介電常數介電材料,例如氧化鋡膜、氧化鋯膜、氧化鋁膜、氧化鉭膜或氧化鑭膜。
第一介電層232的形成可包括任何適合的沉積方法,例如CVD、PVD、PECVD、LPCVD、RTCVD、濺鍍、MOCVD、ALD、使用加熱系統的CVD、及/或上述的組合。
圍繞邊界106以及與邊界106相鄰的周邊103與陣列105的區域的區域235為本揭露的聚焦處,並將詳細繪示於後續製程步驟的第3-8圖中。為了示例性的繪示目的,顯示出周邊MOSFET 107、虛置閘極113d、STI 109與109a、區域235中的井區111a,且並未代表接近邊界106的其他結構及/或元件的詳盡的可能性。
參考第3圖,阻障層342設置於第一介電層232的頂部。在一些實施例中,在設置阻障層342之後,進行平坦化製程,以形成晶圓等級的平坦上表面342t。在平坦化之後,阻障層342的上表面342t設置於周邊103中的閘極堆疊113與虛置閘極113d的上方或邊界106的上方。阻障層342具有位於介於約0.2微米與約2微米之間的範圍中。在一些實施例中,阻障層342的厚度位於介於約0.5微米與約0.9微米之間的範圍中。
在一些實施例中,阻障層342可包括任何適合且不同於第一介電層232的絕緣體,例如氧化矽、TEOS、旋塗式玻璃、硼或磷摻雜的氧化矽等、及/或上述的組合。阻障層342的形成可包括任何沉積方法,例如CVD、PVD、PECVD、LPCVD、RTCVD、高密度電漿(high-density-plasma,HDP)CVD、使用加熱系統的CVD、濺鍍、旋塗式塗布(spin-on-coating)等、及/或上述的組合。平坦化製程可包括化學機械研磨(chemical mechanical polishing,CMP)。
參考第4圖,使用微影與蝕刻移除記憶體陣列105中的阻障層342的一部分,以形成阻障層的側壁342s並曝露出第一介電層232的一部分。用於圖案化阻障層342的遮罩(圖未示)可包括光阻、硬遮罩或上述的組合。圖案化遮罩可在蝕刻阻障層342之後被移除,或可保留作為之後製程步驟中的蝕刻遮罩(如第5圖所示)並於其後移除。阻障層342的殘留部分覆蓋包括周邊103的至少一區域。在一些實施例中,阻障層342的殘留部分也可覆蓋邊界106的至少一部分。在一些實施例中,阻障層342的殘留部分可進一步覆蓋陣列105的主動元件區域的一部分,例如井區111a的一部分。
在一些實施例中,阻障層的側壁342s可為筆直的,也就是垂直基底的上表面101s。在一些實施例中,阻障層的側壁342s與基底的上表面101s之間的角度α可稍微大於或小於90度。在一些實施例中,角度α可位於60度到120度的範圍中。阻障層342的蝕刻可包括乾蝕刻,例如使用如四氟化碳(CF4
)、三氟甲烷(CHF3
)、六氟乙烷(C2
F6
)或六氟丙烯(C3
F6
)等的蝕刻劑的反應性離子蝕刻(RIE)。在一些實施例中,阻障層342的蝕刻也可包括濕式化學蝕刻,例如結合RIE的氟化氫(HF)、緩衝氧化物蝕刻劑(buffered-oxide-etchant,BOE)等。阻障層342的蝕刻製程對第一介電層232具有選擇性。換言之,部分阻障層342的移除包括以第一蝕刻速率蝕刻阻障層342以及以第二蝕刻速率蝕刻第一介電層232,其中第一蝕刻速率大於第二蝕刻速率。因此,在阻障層342的蝕刻製程中,第一介電層232可作為蝕刻停止層。透過蝕刻停止,陣列105中的基底的上表面101s可避免在RIE反應室中曝露在蝕刻化學劑或電漿,因此可在阻障層342的圖案化過程中免於受到破壞。
參考第5圖,移除第一介電層232曝露出的部分,以形成第一介電層的側壁232s以及第一介電層232的殘留部分,並可曝露出記憶體陣列105中的基底的上表面101s的一部分。藉此,可形成3D記憶體結構500。
在一些實施例中,基底的上表面101s的曝露可包括曝露出基底101上的介電膜548。介電膜548可為在例如STI、閘極介電質或閘極間隙壁等的周邊元件的製程中形成的任何介電材料,例如氧化矽。在一些實施例中,基底的上表面101s的曝露包括移除陣列105中的介電膜548的一部分以及曝露出基底101的半導體材料。
第一介電層232的圖案化可使用與阻障層342的圖案化相同的遮罩(圖未示)來進行。圖案化遮罩可在蝕刻第一介電層232之後被移除。在一些實施例中,第一介電層232的圖案化也可使用阻障層342作為硬遮罩。第一介電層232的蝕刻可包括乾蝕刻,例如使用如氧(O2
)/氮(N2
)/四氟化碳(CF4
)、三氟化氮(NF3
)、八氟環丁烷(C4
F8
)、三氟甲烷(CHF3
)等、及/或上述的組合的蝕刻劑的反應性離子蝕刻(RIE)。在一些實施例中,第一介電層232的蝕刻也可包括濕式化學蝕刻,例如亞磷酸(phosphorus acid)、氫氟酸(hydrofluoric acid)或氫氟酸與乙二醇(ethylene glycol)的混合物等。
在形成3D記憶體結構500之後,形成第一介電層的側壁232s。依據用於蝕刻的技術,第一介電層的側壁232s可與阻障層的側壁342s共線。在一些實施例中,第一介電層的側壁232s的輪廓可包括位於阻障層342下的底切(undercut)或在基底的上表面101s之上的底切(參考第5圖的嵌入圖中的虛線)。這些底切可降低作為粒子或離子擴散阻障的第一介電層232的能力,其中粒子或離子可例如氫(H+
)或氧(O2-
)等。此外,兩材料層之間的介面的原子接合會比一材料層內部的原子接合較弱,因此粒子或離子會沿著第一介電層232的上表面551與下表面553擴散。假如使用記憶體結構500製作一3D記憶體陣列時,周邊MOSFET 107會在周邊MOSFET 107與阻障層的側壁342s之間的間距「d」小於10微米的情況下遭遇較高的漏電流。
參考第6圖,第二介電層652設置於3D記憶體結構500之上(如第5圖所示),並覆蓋結構500的所有表面,且第二介電層652在殘留阻障層的上表面342t上具有一厚度「a」,在阻障層的側壁342s上具有一厚度「b」。第二介電層652的厚度「b」取決於阻障層的側壁342s的輪廓、角度α、及/或使用於第二介電層652的沉積技術。第二介電層652的厚度「b」可厚於或薄於水平表面(例如阻障層的上表面342t與基底的上表面101s)上的厚度「a」。在一些實施例中,第二介電層652的厚度「b」可與厚度「a」相同,並為所謂的「一致性的(conformal)」沉積,沉積在3D記憶體結構500上。第二介電層652的厚度「a」可位於介於約40奈米與約60奈米之間的範圍中。第二介電層652的高度「H」取決於阻障層342的厚度及/或第一介電層232的厚度。在一些實施例中,第二介電層652的厚度「H」大於在水平表面上的第二介電層652的厚度「a」。
在一些實施例中,第二介電層652可包括任何適合的絕緣材料,例如氮化矽、氮氧化矽、氧化矽、及/或上述的組合。在一些實施例中,第二介電層652也可包括高介電常數介電材料,例如氧化鋡膜、氧化鋯膜、氧化鋁膜、氧化鉭膜或氧化鑭膜。在一些實施例中,第二介電層652也可包括半導體材料,例如非晶矽或多晶矽等。第二介電層652可包括一或多個材料層及形成材料堆疊。用於設置第二介電層652的技術可包括任何適合的沉積方法,例如CVD、PVD、PECVD、LPCVD、RTCVD、濺鍍、MOCVD、ALD、使用加熱系統的CVD、及/或上述的組合。
在一些實施例中,第二介電層652的設置包括於第一介電層的側壁232s上設置第二介電層652(參考第6圖的嵌入圖)。第二介電層652可與第一介電層232直接相接觸。在一些實施例中,第二介電層652也可填入第一介電層232的上表面551及/或下表面553上可能的底切的一部分。在一些實施例中,第一介電層232與第二介電層652之間的介面沒有包括空氣間隙。
參考第7A圖,使用非等向性蝕刻移除水平表面(例如阻障層的上表面342t與基底的上表面101s)上的第二介電層652,以於阻障層的側壁342s與第一介電層的側壁232s上形成介電間隙壁652s。如本文所使用的,介電間隙壁也可意指「保護間隙壁(protective spacer)」。3D記憶體結構700包括具有曝露出上表面101s的陣列105以及被第一介電層232、阻障層342與介電間隙壁652s保護的周邊103。介電間隙壁652s的位置對應阻障層的側壁342s的位置並可透過阻障層342的圖案化的設計布局與微影定義出。在一些實施例中,介電間隙壁652s可位於周邊103的外側邊緣。為了使周邊獲得較佳的保護,介電間隙壁652s可設置於邊界106中或陣列105中。
形成介電間隙壁652s的非等向性蝕刻可包括乾蝕刻,例如使用如氧(O2
)/氮(N2
)/四氟化碳(CF4
)、三氟化氮(NF3
)、三氟甲烷(CHF3
)、八氟環丁烷(C4
F8
)等、及/或上述的組合的蝕刻劑的反應性離子蝕刻(RIE)。非等向性RIE可包括低壓電漿系統,以增加離子的平均自由路徑(mean-free path)並降低隨意散射。在非等向性蝕刻的過程中,離子在垂直基底的上表面101s的垂直方向上撞擊3D記憶體結構700。在一些實施例中,第二介電層652的高度「H」(如第6圖所示)可大於水平表面(例如阻障層的上表面342t與基底的上表面101s)上的第二介電層652的厚度「a」。因此,水平表面上的第二介電層652可在阻障層的側壁342s殘留有第二介電層652的情況下被移除,以形成具有高度「h」與鄰近第一介電層232的底厚度「c」的介電間隙壁652s。如本文所使用的,為簡化說明,介電間隙壁652s的底厚度「c」也意指「厚度」。
介電間隙壁652s的高度「h」與厚度取決於阻障層342的厚度以及第二介電層652的初始側壁厚度「b」。介電間隙壁652s的高度「h」與厚度也可取決於阻障層的側壁342s輪廓。介電間隙壁652s的高度「h」與厚度可進一步取決於RIE製程條件,例如過度蝕刻時間(over-etch time)、離子方向角度、壓力、直流偏壓與射頻電源等。為了保護周邊電路,介電間隙壁652s具有較厚的厚度為較佳。在一些實施例中,介電間隙壁652s的厚度位於介於約40奈米與約60奈米之間的範圍中。
在形成介電間隙壁652s之後,例如周邊MOSFET 107的周邊元件可在不降低效能或可靠性的情況下被設置的更加地接近陣列105。在一些實施例中,在不對周邊元件具有負面影響的情況下,從周邊MOSFET 107至介電間隙壁652s的側壁的間距「d」可小於約10微米。如本文所使用的,間距「d」也可意指周邊元件與記憶體陣列之間的間距。在一些實施例中,周邊元件與記憶體陣列之間的間距「d」可小於約5微米。在一些實施例中,周邊元件與記憶體陣列之間的間距「d」可小於約3微米。
在一些實施例中,於第一介電層的側壁232s與阻障層的側壁342s上形成介電間隙壁652s,以阻擋粒子或離子沿著第一介電層的上表面551及/或下表面553橫向擴散的可能性。在一些實施例中,介電間隙壁652s也可形成於基底的上表面101s,其中基底的上表面101s可被在周邊元件製作過程(參考地7A圖的嵌入圖)中所形成的介電膜548覆蓋,介電膜548例如為氧化矽。
在一些實施例中,陣列105中的介電膜548的部分可在設置第二介電層652之前被移除。在此範例中,介電間隙壁652s也可形成在介電膜的側壁548s上(參考第7B圖)以及基底的上表面101s上,其中基底的上表面101s可包括基底101的半導體材料。
在一些實施例中,介電間隙壁625s可形成於阻障層的側壁342s上以及第一介電層232的上表面上(參考第7C圖)。在此範例中,第二介電層652的設置是在阻障層342的圖案化之後進行。在阻障層的側壁342s上形成介電間隙壁652s之後,可移除陣列105中的第一介電層232的一部分。第一介電層232的移除可包括以阻障層342與介電間隙壁652s作為圖案化硬遮罩的乾蝕刻。
在一些實施例中,可在形成周邊元件之後以及在設置第一介電層232之前移除介電膜548(參考第7D圖)。在此範例中,第一介電層232可直接形成於基底的上表面101s上,其中基底的上表面101s包括基底101的半導體材料。在此範例中,介電間隙壁652s形成於阻障層的側壁342s與第一介電層的側壁232s上,並形成於基底的上表面101s上。
在一些實施例中,在形成如第7C圖所示的保護結構之後,可在3D記憶體陣列的製作製程之前移除介電膜548的一部分,以曝露出基底的上表面101s的一部分。第7E圖顯示一示例性結構。基底的上表面101s可包括基底101的半導體材料,例如矽或矽鍺等。移除介電膜548的部分可包括類似用於蝕刻阻障層342的技術,例如乾蝕刻或濕式化學蝕刻。
在一些實施例中,當介電膜548在形成周邊元件之後以及在設置第一介電層232之前被移除時,第一介電層232可直接形成於基底的上表面101s上。介電間隙壁652s也可形成於如第7F圖所示的第一介電層232的頂部。在此範例中,第一介電層232的蝕刻可在使用與上述第7C圖所述技術相似的形成介電間隙壁652s之後進行。
在形成3D記憶體結構700(在第7A-7F圖中)之後,3D記憶體陣列的製作可例如重新開始形成一底阻障層與多個交替堆疊的介電層堆疊。
第8圖繪示示例性半導體記憶體裝置800的剖視示意圖。半導體記憶體裝置800包括具有第一區103、第二區105以及第三區106的基底101,第一區103具有例如周邊MOSFET 107的周邊元件,第二區105具有一或多個例如3D陣列結構860的記憶體陣列,且第三區106位於第一區103與第二區105之間。半導體記憶體裝置800也包括保護結構862,其中保護結構862包括第一介電層232與設置於第一介電層232上的阻障層342。第一介電層232與阻障層342的每一者可具有側壁232s/342s。保護結構862另包括形成於阻障層的側壁342s與第一介電層的側壁232s上的介電間隙壁652s。保護結構862可設置於第一區103與第三區106的至少一部分之上。在一些實施例中,保護結構862可設置於第一區103、第三區106與第二區105的至少一部分之上。因此,介電間隙壁652s可設置於陣列105中的主動元件區域中(如的8圖所示)。介電間隙壁652s也可設置於陣列105中的STI 109的隔離結構上或周邊103與陣列105之間的邊界106中。在一些實施例中,保護結構862可包括第一介電層232與設置於第一介電層232上的阻障層342,其中阻障層342可具有側壁342s。保護結構862可進一步包括形成於阻障層的側壁342s上與第一介電層232的上表面上的介電間隙壁652s,其中保護結構862設置於第一區103與第三區106的至少一部分之上。
3D陣列結構860包括位於陣列(例如陣列井區111a)中主動元件區域之上的底阻障層864、位於底阻障層864之上的下部選擇閘極電極866的一層疊(tier)、以及堆疊在下部選擇閘極電極866的頂部的控制閘極電極870的多個層疊,以形成導體/介電質交替堆疊。記憶體裝置也可包括設置於控制閘極電極870的堆疊之上的上部選擇閘極電極868的一層疊。位於閘極電極的相鄰層疊之間為介電層872。記憶體裝置另包括位於相鄰下部選擇閘極電極866之間的部分基底101中的摻雜源極線區域(圖未示)以及貫穿上部選擇閘極電極868、控制閘極電極870、下部選擇閘極電極866與底阻障層864的記憶體串874。記憶體串874包括位於記憶體串874的內表面之上的半導體層876以及被半導體層876環繞的核心填充膜878。記憶體串874也可包括位於半導體層876與控制閘極電極870之間的介面的記憶體膜。3D陣列結構860還包括一絕緣材料880、與上部選擇閘極電極868之上的記憶體串874連接的多條位元線895b以及與透過多個金屬接觸897連接閘極電極的多個金屬互連895a。閘極電極包括上部選擇閘極電極868、控制閘極電極870(例如,也可意指為字元線),以及下部選擇閘極電極866。
為清楚繪示,第8圖中係顯示控制閘極電極870的三個層疊與上部選擇閘極電極868的一個層疊以及下部選擇閘極電極866的一個層疊。3D陣列結構860可包括其他結構,例如貫穿陣列接觸、閘極線狹縫等,其對本領域技術人員而言為已知,且未顯示於第8圖中。在3D陣列結構860的金屬接觸與金屬互連的製作過程中,可同時形成周邊元件的金屬接觸897p與金屬互連895p。
第9圖依據一些實施例繪示形成三維記憶體的周邊電路與元件的保護結構的示例性方法。方法900的製程步驟可用於形成第1-8圖所繪示的記憶體裝置結構。方法900所顯示的製程步驟並非詳盡的,而是在所繪示的製程步驟之前、之後或之間可進行其他製程步驟。在一些實施例中,示例性方法900的一些製程步驟可被省略或可添加為簡化目的在此未描述的其他製程步驟。在一些實施例中,方法900的製程步驟可以不同的順序及/或變化進行。
在製程步驟910,半導體記憶體裝置的周邊元件形成於第一區中,且主動元件區域形成於基底上的第二區中。一或多個隔離結構也可同時形成於第一區與第二區之間的第三區中。第一區可為第一區或第1圖所示的周邊103,第二區可為第二區或陣列105,第三區可為第三區或邊界106,且基底可為基底101。周邊元件包括多個金屬氧化物半導體場效應電晶體(MOSFET)、多個二極體、多個電容、多個電阻等,其中MOSFET可為第1圖所示的一或多個MOSFET 107。單一MOSFET的製作對本領域技術人員而言為已知,且在此概述作為參考。MOSFET的前段製程包括圖案化主動元件區域、填充絕緣體與研磨,以形成淺溝槽隔離(STI)、佈植井區與活化退火摻雜物、沉積閘極介電質與閘極導電材料、圖案化閘極堆疊、佈植與活化退火源極/汲極延伸/光暈區域、沉積閘極間隙壁與非等向性蝕刻、佈植與活化退火源極/汲極、以及形成矽化物。
周邊MOSFET也可製作在「鰭(FIN)」形狀主動元件區域,即所謂的「FINFET」。FINFET的製程包括形成一或多個FIN以及纏繞FIN的閘極堆疊。周邊MOSFET的製作也可接續閘極置換方式,其中先形成犧牲閘極堆疊,然後將其置換為高介電常數/金屬閘極堆疊。效能提升的製程也可包含在周邊MOSFET的製作中,例如應力襯墊層,透過源極/汲極磊晶矽鍺(SiGe)或磊晶矽碳(SiC)等所引起的單軸向應力。周邊元件例如二極體、電阻、電容等可與周邊MOSFET同時製作,或與一些額外的設計等級及/或製程步驟同時製作。
在製程步驟910中,陣列中的主動元件區域與邊界的隔離結構可與周邊元件透過形成類似第1圖的STI 109a的STI同時形成。井區佈植與記憶體陣列的摻雜物活化退火也可與周邊元件同時形成。記憶體陣列的井區可包括用於高壓的P型井以及用於電性隔離或獨立井區控制的位於P型井下的N型深井(參考第1圖示例的陣列井區111a)。
在製程步驟920中,第一介電層設置於記憶體結構上以及周邊、陣列與周邊與陣列之間的邊緣區域之上。第一介電層可為第2圖中的第一介電層232,例如氮化矽,且其可使用例如化學氣相沉積的類似科技設置。第一介電層可具有介於約10奈米與約100奈米之間的厚度,特別是介於約20奈米與約40奈米之間。
在製程步驟930中,阻障層設置於第一介電層上。阻障層可為第3圖中的阻障層342,例如氧化矽,且可使用例如高密度電漿化學氣相沉積的類似科技設置。阻障層可具有位於介於約0.2微米與約2微米之間的範圍中的厚度,特別是介於約0.5微米與約0.9微米。
在製程步驟940中,阻障層的一部分從陣列區域中被移除,以形成阻障層的側壁與殘留部分。並且,曝露出陣列中第一介電層的一部分。側壁可為第4圖中的阻障層的側壁342s。阻障層的一部分的移除包括以一遮罩(例如光阻、硬遮罩等)進行微影與圖案化。如乾蝕刻的技術,例如使用四氟化碳(CF4
)、三氟甲烷(CHF3
)、六氟乙烷(C2
F6
)或六氟丙烯(C3
F6
)等的反應性離子蝕刻(RIE),可用於蝕刻阻障層。濕式化學,例如氟化氫(HF)、緩衝氧化物蝕刻劑(buffered-oxide-etchant,BOE)等,可結合RIE作為修剪蝕刻(touch-up etching)。在阻障層的蝕刻過程中,第一介電層可作為蝕刻停止,以保護陣列區域中基底的上表面。
在製程步驟950中,第一介電層的一部分從陣列區域中移除,以形成第一介電層的側壁與殘留部分。並且,曝露出陣列中基底的上表面的一部分。側壁可為第5圖中第一介電層的側壁232s。第一介電層的蝕刻可包括乾蝕刻,例如使用如氧(O2
)/氮(N2
)/四氟化碳(CF4
)、三氟化氮(NF3
)、八氟環丁烷(C4
F8
)、三氟甲烷(CHF3
)等、及/或上述的組合的蝕刻劑的反應性離子蝕刻(RIE)。第一介電層的蝕刻也可包括濕式化學蝕刻,例如亞磷酸、氫氟酸或氫氟酸與乙二醇的混合物等。
在製程步驟960中,第二介電層設置於記憶體結構之上,例如位於阻障層的殘留部分、阻障層的側壁、第一介電層的側壁以及基底上表面曝露出的部分上。第二介電層可為第6圖中的第二介電層652,例如氮化矽,且可使用例如電漿輔助化學氣相沉積或任何使用加熱系統的化學氣相沉積的類似技術設置。
在製程步驟970中,介電間隙壁形成於阻障層殘留部分的側壁與第一介電層的側壁上。介電間隙壁可為第7A圖中的介電間隙壁652s。介電間隙壁的形成可包括非等向性蝕刻,例如使用如氧(O2
)/氮(N2
)/四氟化碳(CF4
)、三氟化氮(NF3
)、三氟甲烷(CHF3
)、八氟環丁烷(C4
F8
)等、及/或上述的組合的蝕刻劑的反應性離子蝕刻(RIE)。在一些實施例中,介電間隙壁具有位於介於約40奈米與約60奈米之間的範圍中的一厚度。
在一些實施例中,介電間隙壁形成於阻障層的側壁、第一介電層的側壁與基底上的介電膜的頂部上(參考第7A圖的嵌入圖),其中介電膜可為第7A圖中的介電膜548,且可在如STI、閘極介電質或閘極間隙壁的周邊元件的製作過程中形成於陣列區域中的基底的上表面上。
在一些實施例中,位於陣列區域中基底的上表面上的介電膜可在製程步驟950移除陣列中第一介電層的部分之後被移除。並且,可曝露出基底的上表面的一部分,其中基底的上表面包括基底的半導體材料。然後,可將第二介電層設置於阻障層的殘留部分、阻障層的側壁、第一介電層的側壁、介電膜的側壁與基底被曝露出的上表面上(類似製程步驟960)。介電間隙壁可在製程步驟970中形成於阻障層的側壁、第一介電層的側壁與介電膜的側壁上以及基底的上表面上(參考第7B圖)。
在一些實施例中,介電間隙壁可形成於阻障層的側壁與第一介電層的上表面上(參考第7C圖)。在此範例中,第二介電層可在製程步驟940移除阻障層的部分之後設置。在介電間隙壁形成之後,可在阻障層與介電間隙壁可作為圖案化硬遮罩時移除陣列區域中的第一介電層的一部分。製程順序可如製程步驟940、960、970,然後950的順序調整。
在一些實施例中,介電間隙壁可形成於阻障層的側壁與第一介電層的側壁上以及基底的上表面上(參考第7D圖),其中基底的上表面可包括基底的半導體材料。在此範例中,在周邊元件的製作過程中,例如STI、閘極堆疊或閘極間隙壁等的製程過程中,所形成介電膜的一部分可在製程步驟920的第一介電層沉積之前從陣列區域中移除。在製程步驟920中,第一介電層可設置於周邊與基底上表面被曝露出的部分之上,其後進行本文所描述的剩餘製程。
在一些實施例中,介電間隙壁可形成於阻障層的側壁與第一介電層的上表面上,且基底的上表面的一部分被曝露出(參考第7E圖)。形成此結構的方法首先接續本文描述於第7C圖中的結構的製作步驟,例如類似940、960、970與950的製程順序。在形成介電間隙壁與移除陣列區域中第一介電層的一部分之後,可使用蝕刻製程移除介電膜的一部分並曝露出基底的上表面的一部分。蝕刻製成可為任何適合的乾蝕刻,例如使用四氟化碳(CF4
)、三氟甲烷(CHF3
)、六氟乙烷(C2
F6
)或六氟丙烯(C3
F6
)等的反應性離子蝕刻(RIE)。蝕刻製程也可包括濕式化學蝕刻,例如氫氟酸,或乾蝕刻與濕蝕刻的任何組合。阻障層、介電間隙壁及/或第一介電層可作為此次蝕刻的硬遮罩。
在一些實施例中,介電間隙壁可形成於阻障層的側壁上與第一介電層的上表面上,其中第一介電層可直接形成於基底的上表面上(參考第7F圖)。為了製作出此結構,可在第一介電層沉積之前從陣列區域中移除介電膜的一部分。並且,可類似本文描述用於第7C圖所示結構的方法,在形成介電間隙壁之後移除陣列區域中的第一介電層的一部分。
在製程步驟S980中,三維記憶體陣列的製作重新開始例如沉積底阻障層、形成具有介電質交替堆疊的階梯結構、形成貫穿介電質交替堆疊的垂直記憶體通道與記憶體膜、形成閘極線狹縫與將介電質交替堆疊置換為導體/介電質交替堆疊、以及形成陣列與周邊元件的金屬接觸/互連的製程。第8圖顯示示例性三維記憶體裝置。
在一些實施例中,半導體記憶體裝置包括具有一第一區、一第二區以及一第三區的基底,第一區具有多個周邊元件,第二區具有一或多個記憶體陣列,且第三區位於第一區與第二區之間。半導體記憶體裝置也包括用於保護周邊元件的一保護結構。半導體記憶體裝置的周邊元件的保護結構包括一第一介電層以及設置於第一介電層上的一阻障層。半導體記憶體裝置的周邊元件的保護結構另包括形成於阻障層的側壁與第一介電層的側壁上的一介電間隙壁,其中保護結構設置於第一區與第三區的至少一部分之上。
在一些實施例中,半導體記憶體裝置包括具有一第一區、一第二區以及一第三區的基底,第一區具有多個周邊元件,第二區具有一或多個記憶體陣列,且第三區位於第一區與第二區之間。半導體記憶體裝置也包括用於保護周邊元件的一保護結構。半導體記憶體裝置的周邊元件的保護結構包括一第一介電層與設置於第一介電層上的一阻障層。半導體記憶體裝置的周邊元件的保護結構另包括形成於阻障層的側壁上與第一介電層的一上表面上的一介電間隙壁,其中保護結構設置於第一區與第三區的至少一部分之上。
在一些實施例中,形成半導體記憶體裝置的方法包括於基底上的第一區中形成多個周邊元件,以及於基底上的第二區中形成多個主動元件區域。形成半導體記憶體裝置的方法也包括於第一區與第二區之間的第三區中形成一或多個隔離結構。形成半導體記憶體裝置的方法也包括形成用於保護周邊元件的保護結構,其中保護結構包括介電間隙壁、第一介電層的殘留部分以及阻障層的殘留部分,且保護結構設置於第一區與第三區的至少一部分之上。形成半導體記憶體裝置的方法還包括於基底上的第二區中形成一或多個記憶體陣列。
在一些實施例中,形成半導體記憶體裝置的周邊元件的保護結構的方法還包括於基底上設置一第一介電層,以及於第一介電層上設置一阻障層。此方法還包括移除阻障層的一部分,以形成一側壁,並曝露出第一介電層的一部分。此方法也包括移除第一介電層被曝露出的部分,以形成一側壁,並曝露出基底的上表面的一部分。此方法還包括於阻障層的殘留部分、阻障層的側壁、第一介電層的側壁上以及基底的上表面被曝露出的部分上設置一第二介電層。此方法還包括於阻障層的側壁與第一介電層的側壁上形成介電間隙壁。
以上對具體實施例的描述將充分揭示本揭露內容的一般性質,其他人可以通過應用相關領域技術範圍內的知識,輕易地將特定實施例調整及/或修改於各種應用,而無需過度實驗與背離本揭露內容的一般概念。因此,基於這裡給出的教導及指導,這樣的修改及調整仍應屬於本揭露的實施例的均等意涵及範圍內。應該理解的是,本文中的措辭或術語是為了描述的目的而非限制的目的,使得本說明書的術語或措辭將由相關領域技術人員根據教導及指導來解釋。
以上本揭露的實施例已借助於功能構建塊來描述,該功能構建塊示出了特定功能及其關係的實現。為了描述的方便,這些功能構建塊的邊界/範圍在本文中係被任意的定義,在適當地實現所指定的功能及關係時,可以定義出替代邊界/範圍。
發明內容及摘要部分可以闡述出發明人所設想的本揭露的一個或多個的示範性實施例,但並非全部的示範性實施例,並且因此並非意圖以任何方式限制本揭露內容及所附申請專利範圍。
本揭露的廣度及範圍不應受上述任何示範性實施例所限制,而應僅根據以下申請專利範圍及其均等物來限定。
100、500、700‧‧‧三維記憶體結構
101‧‧‧基底
101s、342t、551‧‧‧上表面
103‧‧‧第一區、周邊
105‧‧‧第二區、陣列
106‧‧‧第三區、邊界
107‧‧‧周邊金屬氧化物半導體場效應電晶體
109、109a‧‧‧淺溝槽隔離
111、111a‧‧‧井區
111n‧‧‧N型深井
111p‧‧‧P型井
113‧‧‧閘極堆疊
113d‧‧‧虛置閘極
115‧‧‧光暈區域
117‧‧‧閘極間隙壁
119‧‧‧源極/汲極
L‧‧‧長度
232‧‧‧第一介電層
232s、342s、548s‧‧‧側壁
235‧‧‧區域
342‧‧‧阻障層
548‧‧‧介電膜
553‧‧‧下表面
652‧‧‧第二介電層
652s‧‧‧介電間隙壁
d‧‧‧間距
α‧‧‧角度
a、b、c‧‧‧厚度
H、h‧‧‧高度
800‧‧‧半導體記憶體裝置
862‧‧‧保護結構
860‧‧‧三維陣列結構
864‧‧‧底阻障層
866‧‧‧下部選擇閘極電極
868‧‧‧上部選擇閘極電極
870‧‧‧控制閘極電極
872‧‧‧介電層
874‧‧‧記憶體串
876‧‧‧半導體層
878‧‧‧核心填充膜
880‧‧‧絕緣材料
895a、895p‧‧‧金屬互連
895b‧‧‧位元線
897、897p‧‧‧金屬接觸
900‧‧‧方法
910、920、930、940、950、960、970、980‧‧‧步驟
所附圖式併入本文並構成說明書的一部分,其例示出了本揭露所揭示的實施例,並且進一步與詳細說明一起用於解釋本揭露所揭示的原理,以使相關領域的技術人員能夠製作及使用本揭露所揭示的內容。 第1圖依據本揭露的一些實施例繪示包括周邊、陣列與邊界的示例性三維(3D)記憶體結構100的剖視示意圖。 第2圖依據本揭露的一些實施例繪示覆蓋有第一介電層的示例性3D記憶體結構的剖視示意圖。 第3圖依據本揭露的一些實施例繪示覆蓋有阻障層的示例性3D記憶體結構的剖視示意圖。 第4圖依據本揭露的一些實施例繪示具有記憶體陣列中的阻障層的一部分被移除的示例性3D記憶體結構的剖視示意圖。 第5圖依據本揭露的一些實施例繪示具有記憶體陣列中的第一介電層的一部分被移除的示例性3D記憶體結構的剖視示意圖。 第6圖依據本揭露的一些實施例繪示覆蓋有第二介電層的示例性3D記憶體結構的剖視示意圖。 第7A圖至第7F圖依據本揭露的一些實施例繪示示例性3D記憶體結構的剖視示意圖。 第8圖依據本揭露的一些實施例繪示包括陣列、周邊與保護結構的示例性3D記憶體裝置的剖視示意圖。 第9圖依據本揭露的一些實施例繪示形成3D記憶體裝置的保護結構的示例性方法的流程圖。 以下所列出詳細的說明配合圖式將使得本發明的特徵和優點更加明顯,其中,相似的參考符號表示本文中對應的元件。在圖式中,同樣的參考號碼一般表示相同、功能相似和/或結構相似的元件。在圖式中,先出現的元件是由對應的參考號碼最左邊的數字來表示。 本揭露的實施例將參考圖式來描述。
Claims (20)
- 一種半導體記憶體裝置,包括: 一基底,具有一第一區、一第二區以及一第三區,該第一區具有多個周邊元件,該第二區具有一或多個記憶體陣列,且該第三區位於該第一區與該第二區之間;以及 一保護結構,用於保護該等周邊元件,該保護結構包括: 一第一介電層; 一阻障層,設置於該第一介電層上;以及 一介電間隙壁,形成於該阻障層的側壁與該第一介電層的側壁上, 其中該保護結構設置於該第一區與該第三區的至少一部分之上。
- 如請求項1所述的半導體記憶體裝置,其中該保護結構設置於該第一區、該第三區以及該第二區的至少一部分之上。
- 如請求項1所述的半導體記憶體裝置,其中該等周邊元件與該一或多個記憶體陣列之間的間距小於10微米。
- 如請求項1所述的半導體記憶體裝置,其中該等周邊元件與該一或多個記憶體陣列之間的間距小於3微米。
- 如請求項1所述的半導體記憶體裝置,另包括一介電膜,設置於該基底的一上表面上,其中該介電膜包括氧化矽、氮氧化矽、氮化矽或高介電常數介電材料。
- 如請求項5所述的半導體記憶體裝置,其中該介電間隙壁形成於該介電膜的頂部。
- 如請求項5所述的半導體記憶體裝置,其中該介電間隙壁形成於該介電膜的側壁上。
- 如請求項1所述的半導體記憶體裝置,其中該介電間隙壁形成於該基底的一上表面上。
- 如請求項1所述的半導體記憶體裝置,其中該介電間隙壁包括氮化矽、氮氧化矽或高介電常數的高介電質。
- 一種半導體記憶體裝置,包括: 一基底,具有一第一區、一第二區以及一第三區,該第一區具有多個周邊元件,該第二區具有一或多個記憶體陣列,且該第三區位於該第一區與該第二區之間;以及 一保護結構,用於保護該等周邊元件,該保護結構包括: 一第一介電層; 一阻障層,設置於該第一介電層上;以及 一介電間隙壁,形成於該阻障層的側壁上與該第一介電層的一上表面上, 其中該保護結構設置於該第一區與該第三區的至少一部分之上。
- 如請求項10所述的半導體記憶體裝置,其中該保護結構設置於該第一區、該第三區以及該第二區的至少一部分之上。
- 如請求項10所述的半導體記憶體裝置,其中該等周邊元件與該一或多個記憶體陣列之間的間距小於10微米。
- 如請求項10所述的半導體記憶體裝置,另包括一介電膜,設置於該基底的一上表面上,其中該介電膜包括氧化矽、氮化矽、氮氧化矽或高介電常數的介電材料。
- 如請求項13所述的半導體記憶體裝置,其中該介電膜的一部分從該第二區移除。
- 如請求項10所述的半導體記憶體裝置,其中該第一介電層設置於該基底的一上表面上。
- 如請求項10所述的半導體記憶體裝置,其中該介電間隙壁包括氮化矽、氮氧化矽或高介電常數介電質。
- 一種形成半導體記憶體裝置的方法,包括: 於一基底上的一第一區中形成多個周邊元件; 於該基底上的一第二區中形成多個主動元件區域; 於該第一區與該第二區之間的一第三區中形成一或多個隔離結構; 形成保護結構,用以保護該等周邊元件,其中該保護結構包括該介電間隙壁、該第一介電層的殘留部分以及該阻障層的殘留部分,且該保護結構設置於該第一區與該第三區的至少一部分之上;以及 於該基底上的該第二區中形成一或多個記憶體陣列。
- 如請求項17所述的方法,其中該保護結構的形成包括: 於該基底上設置一第一介電層; 於該第一介電層上設置一阻障層; 移除該阻障層的一部分,以形成一側壁,並曝露出該第一介電層的一部分; 移除該第一介電層被曝露出的部分,以形成一側壁,並曝露出該基底的一上表面的一部分; 於該阻障層的殘留部分、該阻障層的側壁、該第一介電層的側壁以及該基底的該上表面被曝露出的該部分上設置一第二介電層;以及 於該阻障層的側壁與該第一介電層的側壁上形成一介電間隙壁。
- 如請求項17所述的方法,其中該保護結構設置於該第一區、該第三區以及該第二區的至少一部分之上。
- 如請求項17所述的方法,其中該等周邊元件與該一或多個記憶體陣列之間的間距小於10微米。
Applications Claiming Priority (6)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
CN201711183467.4 | 2017-11-23 | ||
CN201711183467.4A CN107946312B (zh) | 2017-11-23 | 2017-11-23 | 防止外围电路受损的方法及结构 |
??201711183467.4 | 2017-11-23 | ||
WOPCT/CN2018/107885 | 2018-09-27 | ||
PCT/CN2018/107885 WO2019100847A1 (en) | 2017-11-23 | 2018-09-27 | Protective structure and fabrication methods for the peripheral circuits of three-dimensional memory |
??PCT/CN2018/107885 | 2018-09-27 |
Publications (2)
Publication Number | Publication Date |
---|---|
TW201926651A true TW201926651A (zh) | 2019-07-01 |
TWI685090B TWI685090B (zh) | 2020-02-11 |
Family
ID=61930087
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW107134942A TWI685090B (zh) | 2017-11-23 | 2018-10-03 | 保護結構以及製作三維記憶體的周邊電路的方法 |
Country Status (3)
Country | Link |
---|---|
CN (3) | CN107946312B (zh) |
TW (1) | TWI685090B (zh) |
WO (1) | WO2019100847A1 (zh) |
Cited By (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
TWI738376B (zh) * | 2020-04-24 | 2021-09-01 | 大陸商長江存儲科技有限責任公司 | 具有汲極選擇閘切割結構的三維記憶體裝置及其形成方法 |
TWI826138B (zh) * | 2022-08-24 | 2023-12-11 | 南亞科技股份有限公司 | 半導體結構及其製造方法 |
Families Citing this family (4)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US10756113B2 (en) | 2017-11-23 | 2020-08-25 | Yangtze Memory Technologies Co., Ltd. | Protective structure and fabrication methods for the peripheral circuits of a three-dimensional memory |
CN107946312B (zh) * | 2017-11-23 | 2019-01-29 | 长江存储科技有限责任公司 | 防止外围电路受损的方法及结构 |
CN113270368B (zh) * | 2021-05-12 | 2023-04-07 | 长江存储科技有限责任公司 | 半导体器件的制作方法 |
CN113690173B (zh) * | 2021-09-07 | 2024-04-05 | 长江存储科技有限责任公司 | 三维存储器及其制备方法 |
Family Cites Families (18)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
KR100255512B1 (ko) * | 1996-06-29 | 2000-05-01 | 김영환 | 플래쉬 메모리 소자 제조방법 |
TW442837B (en) * | 1998-12-03 | 2001-06-23 | Infineon Technologies Ag | Integrated circuit-arrangement and its production method |
US6413821B1 (en) * | 2001-09-18 | 2002-07-02 | Seiko Epson Corporation | Method of fabricating semiconductor device including nonvolatile memory and peripheral circuit |
JP2004095861A (ja) * | 2002-08-30 | 2004-03-25 | Fujitsu Ltd | 半導体装置及びその製造方法 |
KR20040026500A (ko) * | 2002-09-25 | 2004-03-31 | 삼성전자주식회사 | 플래시 메모리 소자의 제조방법 |
US7091102B2 (en) * | 2002-12-20 | 2006-08-15 | Samsung Electronics Co., Ltd. | Methods of forming integrated circuit devices having a capacitor with a hydrogen barrier spacer on a sidewall thereof and integrated circuit devices formed thereby |
TW200541082A (en) * | 2004-04-14 | 2005-12-16 | Renesas Tech Corp | Semiconductor device and manufacturing method thereof |
KR101038355B1 (ko) * | 2008-05-13 | 2011-06-01 | 주식회사 하이닉스반도체 | 플래시 메모리 소자 및 그의 제조 방법 |
JP5654818B2 (ja) * | 2010-09-27 | 2015-01-14 | ルネサスエレクトロニクス株式会社 | パワー系半導体装置の製造方法 |
CN102569393B (zh) * | 2010-12-17 | 2015-01-14 | 中国科学院微电子研究所 | 晶体管、包括该晶体管的半导体器件及其制造方法 |
US10332882B2 (en) * | 2013-12-30 | 2019-06-25 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device having protective structure over shallow trench isolation region and fabricating method thereof |
US9276010B2 (en) * | 2014-05-16 | 2016-03-01 | Taiwan Semiconductor Manufacturing Co., Ltd. | Dual silicide formation method to embed split gate flash memory in high-k metal gate (HKMG) technology |
US9230982B1 (en) * | 2014-08-04 | 2016-01-05 | Sandisk Technologies Inc. | Protective structure to prevent short circuits in a three-dimensional memory device |
CN105355600A (zh) * | 2014-08-20 | 2016-02-24 | 中芯国际集成电路制造(上海)有限公司 | 闪存的制作方法 |
TWI606583B (zh) * | 2015-01-13 | 2017-11-21 | Xinnova Tech Ltd | Non-volatile memory device method |
US9589976B2 (en) * | 2015-04-16 | 2017-03-07 | Taiwan Semiconductor Manufacturing Co., Ltd. | Structure and method to reduce polysilicon loss from flash memory devices during replacement gate (RPG) process in integrated circuits |
CN107346759B (zh) * | 2016-05-06 | 2020-03-10 | 中芯国际集成电路制造(上海)有限公司 | 半导体结构及其制造方法 |
CN107946312B (zh) * | 2017-11-23 | 2019-01-29 | 长江存储科技有限责任公司 | 防止外围电路受损的方法及结构 |
-
2017
- 2017-11-23 CN CN201711183467.4A patent/CN107946312B/zh active Active
- 2017-11-23 CN CN201811464057.1A patent/CN109638017A/zh active Pending
-
2018
- 2018-09-27 CN CN201880005606.0A patent/CN110520992B/zh active Active
- 2018-09-27 WO PCT/CN2018/107885 patent/WO2019100847A1/en active Application Filing
- 2018-10-03 TW TW107134942A patent/TWI685090B/zh active
Cited By (3)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
TWI738376B (zh) * | 2020-04-24 | 2021-09-01 | 大陸商長江存儲科技有限責任公司 | 具有汲極選擇閘切割結構的三維記憶體裝置及其形成方法 |
US11502098B2 (en) | 2020-04-24 | 2022-11-15 | Yangtze Memory Technologies Co., Ltd. | Methods for forming three-dimensional memeory devices with drain-select-gate cut structures |
TWI826138B (zh) * | 2022-08-24 | 2023-12-11 | 南亞科技股份有限公司 | 半導體結構及其製造方法 |
Also Published As
Publication number | Publication date |
---|---|
CN107946312A (zh) | 2018-04-20 |
CN107946312B (zh) | 2019-01-29 |
CN110520992B (zh) | 2020-08-25 |
CN110520992A (zh) | 2019-11-29 |
WO2019100847A1 (en) | 2019-05-31 |
CN109638017A (zh) | 2019-04-16 |
TWI685090B (zh) | 2020-02-11 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
TWI738381B (zh) | 具有背面源極接觸的立體記憶體元件 | |
TWI685090B (zh) | 保護結構以及製作三維記憶體的周邊電路的方法 | |
TWI720742B (zh) | 三維儲存裝置及其形成方法 | |
TWI709231B (zh) | 三維記憶體元件及其製造方法 | |
US9281368B1 (en) | Split-gate trench power MOSFET with protected shield oxide | |
TW202139367A (zh) | 用於形成具有背面源極觸點的三維記憶體元件的方法 | |
US11101369B2 (en) | FinFET device with controlled channel stop layer depth | |
TW201639099A (zh) | 半導體裝置 | |
TWI618124B (zh) | 具有整合式高k金屬閘之非揮發性分離閘記憶體單元,及其製作方法 | |
TWI681547B (zh) | 三維記憶結構以及其製作方法 | |
TW202038443A (zh) | 具有沉積的半導體插塞的立體記憶體元件及其形成方法 | |
US20060091556A1 (en) | Semiconductor device and its manufacturing method | |
US20110284946A1 (en) | Semiconductor memory and method for manufacturing same | |
TW201804604A (zh) | 集成鰭式場效電晶體(finfet) cmos裝置與嵌入式非揮發性記憶體單元之方法 | |
US20160204118A1 (en) | Techniques to avoid or limit implant punch through in split gate flash memory devices | |
TW201327690A (zh) | 半導體元件及其製造方法 | |
US8969940B1 (en) | Method of gate strapping in split-gate memory cell with inlaid gate | |
US11404442B2 (en) | Protective structure and fabrication methods for the peripheral circuits of a three-dimensional memory | |
CN105355652B (zh) | 金属栅极结构及其制造方法 | |
CN104882379A (zh) | 一种FinFET器件及其制造方法 | |
TWI742886B (zh) | 在儲存塊之間具有穩定結構的立體記憶體元件以及用於形成其的方法 | |
CN111788686B (zh) | 三维存储器件及用于形成其的方法 | |
TW202218056A (zh) | 包括具有梅花形狀的通道結構的三維記憶體元件 | |
TW201933493A (zh) | 半導體裝置之製造方法 | |
TWI834945B (zh) | 記憶體元件及其製作方法 |