TW201834061A - 原位晶圓邊緣及背面電漿清洗用系統及方法 - Google Patents

原位晶圓邊緣及背面電漿清洗用系統及方法 Download PDF

Info

Publication number
TW201834061A
TW201834061A TW107121818A TW107121818A TW201834061A TW 201834061 A TW201834061 A TW 201834061A TW 107121818 A TW107121818 A TW 107121818A TW 107121818 A TW107121818 A TW 107121818A TW 201834061 A TW201834061 A TW 201834061A
Authority
TW
Taiwan
Prior art keywords
workpiece
plasma
electrode plate
dielectric
process gas
Prior art date
Application number
TW107121818A
Other languages
English (en)
Other versions
TWI710023B (zh
Inventor
金其昌
陳傑克
金允聖
喬治 德爾芬肯尼斯
Original Assignee
美商蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商蘭姆研究公司 filed Critical 美商蘭姆研究公司
Publication of TW201834061A publication Critical patent/TW201834061A/zh
Application granted granted Critical
Publication of TWI710023B publication Critical patent/TWI710023B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32366Localised processing
    • H01J37/32385Treating the edge of the workpieces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32403Treating multiple sides of workpieces, e.g. 3D workpieces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02082Cleaning product to be cleaned
    • H01L21/02087Cleaning of wafer edges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02082Cleaning product to be cleaned
    • H01L21/0209Cleaning of wafer backside

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)

Abstract

一下部電極板接收射頻電力。一第一上部板係設置成平行於該下部電極板並與該下部電極板間隔開。一接地第二上部板係設置成相鄰於該第一上部板。一介電支架支撐位在介於該下部電極板和該第一上部板之間的一區域之工件。一淨化氣體係在該第一上部板的中央位置處提供。一處理氣體係供應至該第一上部板的外周。該介電支架將工件設置相鄰並平行於該第一上部板處,俾使該淨化氣體流過該工件的頂部表面上,以防止該處理氣體流流過該工件的頂部表面上,並使該處理氣體圍繞該工件的外周邊緣和工件下方流動。

Description

原位晶圓邊緣及背面電漿清洗用系統及方法
本發明關於原位原位晶圓邊緣及背面電漿清洗用系統及方法。
在半導體晶片之加工期間,基板係受一系列材料沉積和移除處理,以堆積各種導電和介電材料之圖案在該基板上,該基板最終形成功能性積體電路裝置。在各種材料移除處理,即,蝕刻處理期間,蝕刻副產物可堆積在基板的邊緣區域,在該處的電漿密度通常較低。蝕刻副產物的材料可為半導體晶片的加工中所使用的任何材料類型,並且通常包含由碳、氧、氮、氟、及其它所組成的聚合物。隨著蝕刻副產物材料堆積在靠近基板的外周邊緣,該蝕刻副產物材料可能變得不穩定,並從該基板剝落/分離,從而對於半導體晶片接受加工處之基板的其它部分成為潛在的材料污染來源。此外,在各種加工處理期間,副產品材料可附著於基板的背面表面之任何暴露部分,從而成為基板的重要部分的可能材料污染之另一來源。因此,在基板上之半導體元件的加工期間,必須將有問題的副產物材料從基板的外周邊緣以及基板的背面移除。本發明即在此背景下產生。
在一實施例中,揭露一種半導體處理系統。該系統包含一下部電極板及一連以提供射頻電力至該下部電極板的射頻電源供應器。該系統亦包含一介電上部板,該介電上部板係平行放置並與該下部電極板間隔開。該系統亦包含一位於該介電上部板隔旁之上部電極板,俾使該介電上部板位於該下部電極板與該上部電極板之間。該上部電極板電連接至一參考接地電位。該系統亦包含一介電支架,該介電支架係定義為以電隔離的方式將一工件支撐在一該下部電極板和該介電上部板之間的區域內。該系統亦包含一淨化氣體通道,該淨化氣體通道係形成以供應一淨化氣體至位於該下部電極板和該介電上部板之間的該區域之該介電上部板的中央位置。該系統亦包含一處理氣體供應通道,該處理氣體供應通道係形成以在該介電上部板的外周供應一處理氣體至位於該下部電極板和該介電上部板之間的該區域。該介電支架係定義為將該工件設置於一鄰近且實質上平行於該介電上部板之位置,俾使該淨化氣體係從位於該工件之頂部表面上之淨化氣體供應通道流動於該介電上部板和該工件之一頂部表面之間,以當該工件位於該介電支架件上時,防止處理氣體流過該工件的該頂部表面上,並使處理氣體圍繞該工件的外周邊緣和該工件下方流動,進入一介於該下部電極板和該工件之一底部表面之間的區域。
在一實施例中,揭露一種用於對工件之底部表面及外周區域進行電漿清洗的方法。該方法包含將該工件的該底部表面設置於一介電支架上,該介電支架係定義為以電隔離的方式將該工件支撐於介於一下部電極板之一頂部表面以及一介電上部板之一下部表面之間的區域內。一上部電極板係位於該介電上部板的一上部表面旁。該下部電極板係連接以接收射頻電力。該上部電極板係電連接至一參考接地電位。該方法亦包含設置該介電支架,俾使該工件的一頂部表面係由一狹窄間隙與該介電上部板之該下部表面間隔開,且俾使一開放區域存在於該工件之該底部表面和該下部電極板的該上部表面之間。該方法亦包含:流動一淨化氣體至位於該工件之該頂部表面以及該介電上部板之該下部表面之間的該狹窄間隙中的一中央位置,俾使該淨化氣體以一遠離該中央位置之方向流經該狹窄間隙朝向該工件的一外周。該方法亦包含流動一處理氣體至位於該狹窄間隙外的工件之一外周區域。該處理氣體流入介於該工件之該底部表面和該下部電極板之該上部表面之間的該區域。該方法亦包含提供射頻電力至該下部電極板,以將該處理氣體轉換為電漿圍繞該工件的外周區域,以及在介於該工件的該底部表面和該下部電極板的該上部表面之間的區域內。
在一實施例中,揭露一種半導體處理系統。該系統包含一用於將處理氣體轉換成電漿之具有一內部區域的下部噴淋頭電極板。該下部噴淋頭電極板具有若干個從該下部噴淋頭板的一上部表面延伸至該內部區域的通風孔。該系統亦包含一處理氣體供應通道,該通道係形成以供應該處理氣體至該下部噴淋頭電極板之該內部區域。該系統亦包含一射頻電力供應器,其係連接以供應射頻電力至該下部噴淋頭電極板,以將該處理氣體轉換為電漿於該下部噴淋頭電極板之該內部區域內。該系統亦包含一第一上部板,該第一上部板係平行於且與該下部噴淋頭電極板間隔開。該系統亦包含一位於該第一上部板旁邊之第二上部板,俾使該第一上部板係位於該下部噴淋頭電極板和該第二上部板之間。該第二上部板係電連接至一參考接地電位。該系統亦包含一具有環形形狀之介電邊緣環,該介電邊緣環之一上部表面係定義為接觸並支撐一工件的一底部表面之一外周區域。該介電邊緣環係定義為以電隔離的方式將該工件支撐在一介於該下部噴淋頭電極板的該上部表面和該第一上部板的一下部表面之間的一區域中。該系統亦包含一淨化氣體供應通道,該淨化氣體供應通道係形成以在該第一上部板的一中央位置處供應一淨化氣體至介於該下部噴淋頭電極板的該上部表面和該第一上部板的該下部表面之間的該區域。該介電邊緣環係定義為將該工件設置於靠近且實質上平行於該第一上部板,俾使該淨化氣體從該工件之一頂部表面上的該淨化氣體供應通道流動介於該第一上部板之該下部表面以及該工件之該頂部表面之間,以當該工件位在該介電邊緣環上時,防止該電漿之反應性成分到達該工件之該頂部表面。
在一實施例中,揭露一種用於對工件之底部表面進行電漿清洗的方法。該方法包含將該工件設置於一介電邊緣環上,該介電邊緣環具有一環形形狀,其上部表面係定義為接觸並支撐該工件之該底部表面的外周區域。該介電邊緣環係定義為以電隔離的方式將該工件支撐於介於一下部噴淋頭電極板的一上部表面和一第一上部板的一下部表面之間的區域內。一第二上部板係位於該第一上部板的一上部表面旁。該下部噴淋頭電極板係連接以接收射頻電力。該第二上部板係電連接至一參考接地電位。該方法亦包含:設置該介電邊緣環,俾使該工件的一頂部表面係由一狹窄間隙與該第一上部板的該下部表面隔開,且俾使一開放區域存在於位在該介電邊緣環內之該工件的該底部表面以及該噴淋頭電極板的該上部表面之間。該方法亦包含流動一淨化氣體至位於該狹窄間隙內的一中央位置,俾使該淨化氣體以一遠離該中央位置的方向流經該狹窄間隙朝向該工件的一外周。該方法亦包含流動一處理氣體至該下部噴淋頭電極板的一內部區域。該方法亦包含供應射頻電力至該下部噴淋頭電極板,以在該下部噴淋頭電極板之該內部區域內將該處理氣體轉換成電漿,從而該電漿之反應性成分從該下部噴淋頭電極板的內該部區域流經通風口進入介於該開放區域內,該開放區域係介於位在該介電邊緣環內之該工件的該上部表面以及該下部噴淋頭電極板之該上部表面之間。
從以下詳細描述,結合隨附圖式並透過例示的方式說明本發明,本發明之其它實施態樣和優點將變得更顯而易見。
在下面的描述中,提出許多具體細節以提供對本發明之透徹理解。然而,對於本領域技術人員將顯而易見地,本發明可以在缺乏這些具體細節之部份或所有者的情況下實施。在其它情況下,眾所周知的處理操作則未加以詳細描述,以免不必要地使本發明失焦。
圖1A顯示根據本發明之一實施例的半導體處理系統100。該系統包含腔室101。於腔室101內,介電上部板105係設置成平行於下部電極板103且與下部電極板103間隔開。上部電極板107係設置於介電上部板105旁,俾使介電上部板105位於下部電極板103和上部電極板107之間。如由電連接部129所指示,上部電極板107電連接一參考接地電位128。介電上部板105和上部電極板107共同形成上部電極組件108。
如由電連接部127所指示,射頻(RF)電源供應器123係連接以通過匹配電路125供應射頻電力至下部電極板103。吾人應理解,匹配電路125係定義為控制透過電連接部127之電阻抗,俾使所提供的射頻電力可有效率地傳遞經過區域140。下部電極板103係設置於內部底板135內,內部底板135係由外部底板136所固持。如由電連接137所指示,外部底板136係電連接至參考接地電位138。內部底板135係由介電材料製成,以電分離由射頻供電之下部電極板103及接地之外部底板136。下部電極板103、內部底板135和外部底板136共同形成下部電極組件104。
上部電極組件108係由區域140與下部電極組件104分開,區域140係介於下部電極板103的上部表面和介電上部板105的下部表面之間。一介電支架係定義為以電隔離的方式將工件109支撐於下部電極板103和介電上部板105之間的區域140內。在圖1A的實施例中,介電支架係定義為一組介電升降銷111,該等升降銷延伸穿過下部電極板103,而以電隔離的方式將工件109支撐在介於下部電極板103和介電上部板105之間的區域140內。在工件109係支撐於該組介電升降銷111上的配置中,工件109係在浮動電位。在一實施例中,該組介電升降銷111係由不導電的陶瓷材料製成。
該組介電升降銷111係定義為以一可控制的方式延伸到介於下部電極板103和介電上部板105之間的區域140中,以當工件109位於該組介電升降銷111上時,控制形成介於工件109的頂部表面及介電上部板105之間的間隙113之距離112。在一實施例中,在工件109的頂部表面和介電上部板105之間的距離112之垂直測量值為約0.35mm。然而,吾人應理解在其它實施例中,介於工件109的頂部表面和介電上部板105之間的距離112可根據需要設定。此外,吾人應理解,介於工件109的頂部表面和介電上部板105之間的距離112在電漿處理操作期間及/或之間係可調整。
在一些實施例中,介電上部板105可包含加熱元件,以提供對於工件109的溫度控制。例如,在一些實施例中,介電上部板105可包含輻射加熱元件,以提供工件109在遍及間隙113的輻射加熱。在其它實施例中,介電上部板105可包含電阻式加熱器,以提供對介電上部板105的加熱,進而提供對於工件109之輻射和/或對流加熱。
淨化氣體供應通道115係形成以在介電上部板105的中央位置供應一淨化氣體至介於下部電極板103和介電上部板105之間的區域140中。在一實施例中,如圖1A之示例所示,淨化氣體供應通道115係形成穿過上部電極板107和介電上部板105,以配該淨化氣體於介電上部板105的中央位置以及當工件109位於該組介電升降銷111上時,分配淨化氣體於工件109的上部表面之一實質上中央位置。淨化氣體供應通道115流體連接至包含淨化氣體的淨化氣體供應器117。
在電漿處理操作期間,淨化氣體徑向向外流動經過間隙113,遍及工件109之頂部表面,從工件109的中央位置朝向外周,從而防止電漿102的反應性成分在工件109的外周進入介於工件109的頂部表面和介電上部板105的底部表面之間的間隙113。此外,在電漿處理操作期間,淨化氣體可提供工件109之冷卻。在一些利用在介電上部板105內之加熱元件的實施例中,由位於間隙113內的淨化氣體所提供之冷卻與由加熱元件所提供的加熱結合,以提供工件109的溫度之整體控制。在各種實施例中,該淨化氣體係定義為一種惰性氣體,例如氮氣或氦氣或其它氣體等。然而吾人應理解,在其它實施例中,其它氣體或氣體混合物可用以作為淨化氣體,前提是該淨化氣體與電漿處理在化學上相容,且能提供來自在工件109的頂部表面上之區域的反應電漿成分排除效果及所需的溫度控制效果。
一處理氣體供應通道119係流體連接至包含一處理氣體的處理氣體供應器121。該處理氣體係定義為當暴露至射頻電力時,轉換成電漿102。處理氣體供應通道119係形成以供應處理氣體至靠斤介電上部板105的外周之位置。來自處理氣體供應通道119之處理氣體擴散到介於下部電極板103和介電上部板105之間的區域140中。在圖1A的範例實施例中,處理氣體供應通道119係穿過上部電極板107而形成,並包含形成於上部電極板107和介電上部板105之間的開放區域119A。
在各種實施例中,處理氣體係定義為以氧為基礎的化學品、以氟為基礎的化學品、以氯為基礎的化學品等等之一或更多者。然而吾人應理解,在其它實施例中,其它氣體或氣體混合物可用以作為處理氣體,前提是該處理氣體係定義為當暴露至透過電連接部127供應之射頻電力時,轉換成具有適當的反應性成分之特性的電漿102。吾人亦應理解,在各種實施例中,取決於所用之射頻電力的特性,(如頻率、電力和工作週期)、待施加於腔室101內之壓力、待施加於腔室101內之溫度、通過腔室101的處理氣體之流率、以及產生特定反應於暴露至電漿102之工件109的部分上所需的反應性成分之類型,處理氣體的成分可變化。在一些實施例中,射頻電力係以60 megaHertz(MHz)或更高的頻率提供。
圖1B顯示,根據本發明之一實施例,圖1A中所指示之A-A的橫剖面圖。如圖1B所示,淨化氣體供應通道115係定義為分配該淨化氣體在介電上部板105下方一實質上中央之位置。另外,在上部電極板107和介電上部板105之間、處理氣體經由其中分配的開放區域係定義為以一實質上均勻的方式圍繞介電上部板105的外周,俾使處理氣體係以實質上上均勻的方式分配於介電上部板105的外周。
圖1C顯示,根據本發明的一實施例之半導體處理系統100的變型,其中處理氣體供應通道119係定義為在圍繞介電上部板105的外周之各個位置處穿過介電上部板105,如由通​​道119B所示。圖1D顯示,根據本發明之一實施例,圖1C中所表示之A-A的橫剖面圖。如圖1D所示,處理氣體流經之通道119B係以實質上均勻的方式圍繞介電上部板105的外周設置,俾使處理氣體係以實質上均勻的方式圍繞介電上部板105的外周分配。此外,吾人應注意,圖1D顯示另一實施例,其中淨化氣體係通過數個通道115A至介電上部板105的中央區域下方的位置。
再次參照圖1A,在半導體處理系統100內的電漿處理操作期間,淨化氣體係流動通過淨化氣體供應通道115且處理氣體係流動通過處理氣體供應通道119。定義為一組介電升降銷111的介電支架係定義為設置工件109於一鄰近且實質上平行於介電上部板105之位置,俾使淨化氣體從位於工件109之頂部表面上的淨化氣體供應通道115流動於介電上部板105和工件109的頂部表面之間,以當工件109位於介電升降銷111上時,防止處理氣體流過工件109的頂部表面,以及使處理氣體繞工件109的外周邊緣及工件109下方流動至介於下部電極板103和工件109的底部表面之間的區域中。
在介電上部板105的外周之淨化氣體流防止處理氣體和電漿102的任何反應性成分進入在工件109的頂部表面上之區域。該處理氣體圍繞工件109並於其下方流動,並由射頻電力轉換成電漿102,射頻電力係經由電連接部127傳輸到下部電極板103。電漿102係暴露至工件109的外周邊緣和工件109的底部表面,以與來自工件109的這些區域的材料反應並移除不需要的材料。處理氣體、淨化氣體和電漿102的反應副產物材料透過排氣部131的方式通過端口133從腔室101抽空,如箭頭139所指示。
吾人應理解,暴露於電漿102的反應性成分之系統100的各種組件的任何部分,可視需要透過利用抗電漿侵蝕性材料和/或透過使用保護塗層,如Y2 O3 或其它陶瓷塗層加以保護。此外,在一些實施例中,例如下部電極組件104之結構可由薄石英板覆蓋,同時確保來自下部電極板103至電漿102的射頻電力傳輸不受該薄石英板所破壞。
在使用系統100進行電漿處理操作的期間,來自工件109的底部表面之材料的蝕刻速率為施加到處理氣體的射頻電力和在腔室101內的處理氣體之壓力的部分函數。更具體而言,較高的射頻電力產生來自工件109之底部表面的材料之更高的蝕刻速率,反之亦然。並且,腔室101內之較低壓力的處理氣體來自工件109之底部表面的材料之更高的蝕刻速率,反之亦然。此外,在遍及工件109的底部表面上的材料的蝕刻速率之均勻性,在當腔室101內之處理氣體的壓力較低時係改善。
在各種實施例中,射頻電力由射頻電源供應器123以在約100 瓦(W)延伸至約10 kW(kW)的範圍內提供。在一些實施例中,射頻電力由射頻電源供應器123以在約1 kW延伸至約 3 kW的範圍內提供。在一些實施例中,射頻電力由射頻電源供應器123以在約2 兆赫 (MHz)延伸至約 60 MHz的範圍內提供。在一些實施例中,直流(DC)電源亦可施加至下部電極板103。另外,在一些實施例中,射頻電力的數個頻率可在同一時間或在不同的時間,例如以循環的方式,供應至下部電極板103。
在一些實施例中,腔室內的處理氣體之壓力係在控制從約50毫托(mT)延伸至約10托(T)的範圍內。在一些實施例中,腔室中的處理氣體之壓力係控制在延伸至約2T的範圍內。在一些實施例中,處理氣體係在從約每分鐘0.1標準升(slm)至約5 slm的範圍內之流率供應至電漿102生成容積。在一些實施例中,處理氣體係在從約每分鐘1 slm至約5 slm 的範圍內之流率供應至電漿102生成容積。
圖1E顯示,根據本發明之一實施例,定義為使用遠端電漿源184之圖1A的半導體處理系統100之變型。遠端電漿源184係定義為生成電漿102的反應性成分於腔室101的外部,並使電漿102的反應性成分流經管道180至位於工件109下方之區域,如箭頭182所指示。另外,在此實施例中,射頻電力係從射頻電源供應器123供應至外部底板136,如電連接部127A所指示,以在工件109的外周邊緣之區域附近生成更多電漿102的反應性成分。吾人應理解,在此實施例中,外部底板136的射頻供電之部分係與參考接地電位138電隔離。
在各種實施例中,射頻電力由射頻電源供應器123以在約1 kW延伸至約10 kW的範圍內提供。在一些實施例中,射頻電力由射頻電源供應器123以在約5 kW延伸至約8 kW的範圍內提供。在一些實施例中,射頻電力由射頻電源供應器123以在約2 MHz延伸至約60 MHz的範圍內提供。在一些實施例中,在一些實施例中,直流(DC)電源亦可施加至下部電極板104。另外,在一些實施例中,射頻電力的數個頻率可在同一時間或在不同的時間,例如以循環的方式,供應至外部底板136。
另外,在此實施例中,吾人應理解,淨化氣體係從工件109之頂部表面上的淨化氣體供應通道115流動於介電上部板105和工件109之頂部表面之間,以防止電漿102的反應性成分流過工件109的頂部表面上並與工件109的頂部表面進行反應。處理氣體、淨化氣體和電漿102的反應副產物材料透過排氣部131的方式通過端口133從腔室101抽空,如箭頭139所指示。在各種實施例中,遠端電漿源184係定義為利用射頻電力、微波電力、或其組合生成電漿102的反應性成分。此外,在各種實施例中,遠端電漿源184係定義為電容耦合電漿源或感應耦合電漿源。
在一些實施例中,在遠端電漿源184內的處理氣體之壓力係控制在從約0.1 T延伸至約10T的範圍內。在一些實施例中,在遠端電漿源184內的處理氣體之壓力係控制在從約1T延伸至約10T的範圍內。在一些實施例中,處理氣體係以從約 0.1slm 延伸至約 5slm 的範圍內之流率供應至遠端電漿源184。在一些實施例中,處理氣體係以從約 1slm 延伸至約 5slm 的範圍內之流率供應至遠端電漿源184。
圖1F顯示,根據本發明之一實施例,配置為將工件109降低以放置於下部電極組件104上,以進行該工件109的外周邊緣之電漿處理的半導體處理系統。在此實施例中,淨化氣體係流動通過淨化氣體供應通道115且處理氣體係流動通過處理氣體供應通道119。該組介電升降銷111係完全縮回,俾使工件109在一鄰近且實質上平行於介電上部板105之位置設置於下部電極組件104上,俾使該淨化氣體從位於工件109之頂部表面上的淨化氣體供應通道115流動於介電上部板105和工件109的頂部表面之間,以防止處理氣體流過工件109的頂部表面,以及使處理氣體繞工件109的外周邊緣流動。
在介電上部板105的外周之淨化氣體流防止處理氣體和電漿102A的任何反應性成分進入在工件109的頂部表面上之區域。該處理氣體圍繞工件109流動,並由射頻電力轉換成電漿102A,射頻電力係經由電連接部127傳輸到下部電極板103。電漿102A係暴露至工件109的外周邊緣,以與來自工件109的這些區域的材料反應並移除不需要的材料。處理氣體、淨化氣體和電漿102A的反應副產物材料透過排氣部131的方式通過端口133從腔室101抽空,如箭頭139所指示。
圖2A顯示,顯示根據本發明之一實施例之半導體處理系統200。如圖1A的系統100,系統200包含腔室101、上部電極組件108、和下部電極組件104。上部電極組件108包含介電上部板105和上部電極板107。上部電極板107電連接至參考接地電位128,如由電連接部129所指示。淨化氣體供應通道115從淨化氣體供應器117延伸通過上部電極組件108,以在介電上部板105下面的中央位置提供淨化氣體。處理氣體供應通道119從處理氣體供應器121延伸通過上部電極組件108,以在工件109的外周邊緣供應處理氣體。
下部電極組件104包含由內部底板135所支撐的下部電極板103,內部底板135係由外部底板136所支撐。下部電極板103係電連接以透過匹配電路125和電連接部127的方式接收來自射頻電源供應器123的射頻電力。外部底板136係由一導電材料形成並電連接至參考接地電位137。內部底板135係由一介電材料形成,以將射頻供電的下部電極板103與接地的外部底板136電隔離。
系統200亦可包含一組升降銷111A,用於在將工件109放置於腔室101中之工件109的處理,以及將工件109從腔室101內取出。然而,與系統100中的介電升降銷111不同,系統200中的該組升降銷111A並非在腔室101內之電漿處理操作期間,用以作為支撐工件109之介電支架用。相反地,系統200包含一介電邊緣環201,用以作為工件109的介電支架。介電邊緣環201係由一介電材料形成並具有環形形狀,該環形形狀之上部表面係定義為接觸並支撐工件109的底部表面之外周區域。
圖2B顯示,根據本發明之一實施例,圖2A中所標示之B-B的橫剖面圖。如圖2B所示,介電邊緣環201具有環形形狀,以限定待生成於下部電極板103的頂部表面和工件109的底部表面之間的區域內的電漿203。以此方式,介電邊緣環201係定義為電漿排除區域(plasma exclusion zone,PEZ)環。
再次參照圖2A,介電邊緣環201係定義為以一可控制的方式延伸到介於下部電極板103和介電上部板105之間的區域140中,以當工件109位於介電邊緣環201上時,控制介於工件109的頂部表面及介電上部板105之間的距離112。介電邊緣環201延伸到下部電極板103和介電上部板105之間的區域140中亦於工件109下方及下部電極板103上方形成電漿生成容積,俾使工件109的底部表面可暴露至生成在電漿生成容積內的電漿203。因此,介電邊緣環201亦作用以將電漿203侷限於工件109下方的電漿生成容積。吾人應理解,在一些實施例中,介電邊緣環201相對於下部電極板103的位置係為可調整,從而可提供介於工件109和下部電極板103之間的電漿處理容積之大小的調整。
介電邊緣環201包含通風孔205,該等通風孔係定義為當工件109係位於介電邊緣環201上時,使來自處理氣體供應通道119的一出口之處理氣體流動至介於下部電極板103和工件109的底部表面之間的區域。圖2C顯示一範例實施例,其中介電邊緣環201係定義為數個環形形狀環201A之一堆疊,由形成通風口205的空間與彼此分離。在此實施例中,環形形狀環201A可以其分開的關係由結構構件204所固持,結構構件204在圍繞環形形狀環201A的周圍之若干個位置連接至各個環形形狀環201A。此外,在一些實施例中,這些結構構件204可定義為以固定的空間配置固持該等環形形狀環201A。此外,在一些實施例中,這些結構構件204可定義為提供環形形狀環201A相對於彼此之空間配置的受控制之變化,俾使形成通風孔205的各個環形形狀環201A之間的間隔尺寸可調整。
吾人應理解,圖2C的介電邊緣環201之實施例為許多可能的介電邊緣區域201的實施例之其中一者。例如,在其它實施例中,介電邊緣環201可為單一的整體結構,其包含用於將氣體從工件109下方之電漿處理容積排出的徑向座向之通道。然而,不論為何特定實施例,吾人應理解介電邊緣環201係由介電材料形成,具有定義為在工件109的底部表面之徑向外周支撐工件109的頂部表面,且包含通孔、通風孔、或其它類型的通道,俾使介電邊緣環201作為從工件109下方之電漿處理容積離開的處理氣體和電漿處理副產物材料的隔板。
在將處理氣體通過處理氣體供應通道119供應之期間,排氣部131可被關閉,俾使處理氣體會經由介電邊緣環201之通風口205擴散進入工件109下方的電漿生成容積。接著,淨化氣體可透過淨化氣體供應通道115供應,以清除工件109之上方的間隙113內的處理氣體。透過匹配電路125和電連接部127的方式,射頻電力可從射頻電源供應器123供應至下部電極板103,以將位於工件109下方之電漿生成容積內的處理氣體轉換為電漿203,由此電漿203的反應性成分與工件109的底部表面相互作用,以從工件109移除不需要的物質。接著,排氣部131可以被打開以將淨化氣體和處理氣體兩者從腔室101內抽空,並經由介電邊緣環201的通​​風口205,將處理氣體和電漿處理副產物材料從工件109下方之電漿生成容積抽空至排氣口133,如箭頭139所指示。可附加地,在一些實施例中,供應射頻電力以生成電漿203之期間,排氣部131可打開,從而在電漿處理操作期間,提供處理氣體、淨化氣體、和電漿處理副產物材料之抽空。
吾人應理解,暴露於電漿203的反應性成分之系統200的各種組件之任何部分,可視需要透過利用抗電漿侵蝕性材料和/或透過使用保護塗層,如Y2 O3 或其它陶瓷塗層加以保護。此外,在一些實施例中,如下部電極組件104之結構可由薄石英板覆蓋,同時可確保從下部電極板103到電漿203的射頻電力之傳輸不被該薄石英板所破壞。
在使用系統200進行電漿處理操作的期間,來自工件109的底部表面之材料的蝕刻速率為施加到處理氣體的射頻電力和在腔室101內的處理氣體之壓力的部分函數。更具體而言,較高的射頻電力產生來自工件109之底部表面的材料之更高的蝕刻速率,反之亦然。並且,腔室101內之較低壓力的處理氣體來自工件109之底部表面的材料之更高的蝕刻速率,反之亦然。此外,在遍及工件109的底部表面上的材料的蝕刻速率之均勻性,在當腔室101內之處理氣體的壓力較低時係改善。
在各種實施例中,射頻電力由射頻電源供應器123以在約100 W延伸至約10 kW的範圍內提供。在一些實施例中,射頻電力由射頻電源供應器123以在約1 kW延伸至約 3 kW的範圍內提供。在一些實施例中,射頻電力由射頻電源供應器123以在約2 MHz延伸至約 60 MHz的範圍內提供。在一些實施例中,直流(DC)電源亦可施加至下部電極板103。另外,在一些實施例中,射頻電力的數個頻率可在同一時間或在不同的時間,例如以循環的方式,供應至下部電極板103。
在一些實施例中,腔室內的處理氣體之壓力係控制在從約50 mT 延伸至約10T的範圍內。在一些實施例中,腔室中的處理氣體之壓力係控制在延伸至約2T的範圍內。在一些實施例中,處理氣體係在從約每分鐘0.1slm至約5 slm的範圍內之流率供應至電漿102生成容積。在一些實施例中,處理氣體係在從約每分鐘1 slm至約5 slm 的範圍內之流率供應至電漿102生成容積。
圖2D顯示,根據本發明之一實施例,圖2A之定義為使用遠端電漿源184的半導體處理系統200的變型。遠端電漿源184係定義為生成電漿203的反應性成分於腔室101的外部,並使電漿203的反應性成分流經管道180至位於工件109下方之區域,如箭頭182所指示。
處理氣體、淨化氣體和電漿203的反應副產物材料透過排氣部131的方式通過端口133從腔室101抽空,如箭頭139所指示。在各種實施例中,遠端電漿源184係定義為利用射頻電力、微波電力、或其組合生成電漿102的反應性成分。此外,在各種實施例中,遠端電漿源184係定義為電容耦合電漿源或感應耦合電漿源。
在一些實施例中,在遠端電漿源184內的處理氣體之壓力係控制在從約0.1 T延伸至約10T的範圍內。在一些實施例中,在遠端電漿源184內的處理氣體之壓力係控制在從約1T延伸至約10T的範圍內。在一些實施例中,處理氣體係以從約 0.1slm 延伸至約 5slm 的範圍內之流率供應至遠端電漿源184。在一些實施例中,處理氣體係以從約 1slm 延伸至約 5slm 的範圍內之流率供應至遠端電漿源184。
圖2E顯示,根據本發明之一實施例,圖2A之配置為將工件109降低以放置於下部電極組件104上的半導體處理系統200,以進行該工件109的外周邊緣的電漿處理。在此實施例中,淨化氣體係流動通過淨化氣體供應通道115且處理氣體係流動通過處理氣體供應通道119。介電邊緣環201係完全縮回,俾使工件109在一鄰近且實質上平行於介電上部板105之位置設置於下部電極組件104上,俾使該淨化氣體從位於工件109之頂部表面上的淨化氣體供應通道115流動於介電上部板105和工件109的頂部表面之間,以防止處理氣體流過工件109的頂部表面,以及使處理氣體繞工件109的外周邊緣流動。
在介電上部板105的外周之淨化氣體流防止處理氣體和電漿203A的任何反應性成分進入在工件109的頂部表面上之區域。該處理氣體圍繞工件109之外周邊緣流動,並由射頻電力轉換成電漿203A,射頻電力係經由電連接部127傳輸到下部電極板103。電漿203A係暴露至工件109的外周邊緣,以與來自工件109的這些區域的材料反應並移除不需要的材料。處理氣體、淨化氣體和電漿203A的反應副產物材料透過排氣部131的方式通過端口133從腔室101抽空,如箭頭139所指示。
圖3A顯示根據本發明之一實施例的半導體處理系統300。系統300包含腔室101和上部電極組件306,其包含介電上部板105A和上部電極板107。上部電極板107電連接至參考接地電位128,如電連接部129所指示。淨化氣體供應通道115從淨化氣體供應器117延伸通過上部電極組件306,以在介電上部板105A下面的中央位置提供淨化氣體。
系統300亦包含下部電極組件304,其包含具有用於將處理氣體轉換成電漿302的內部區域303之下部噴淋頭電極板301。下部噴淋頭電極板301包含若干個從下部噴淋頭板301的上部表面延伸到內部區域303的通風口305。下部噴淋頭電極板301係由內部底板135所支撐,內部底板135係由外部底板136所支撐。下部噴淋頭電極板301係電連接以透過匹配電路125和電連接部127的方式接收來自射頻電源供應器123的射頻電力。外部底板136係由一導電材料形成並電連接至參考接地電位137。內部底板135係由一介電材料形成,以將射頻供電的下部噴淋頭電極板301與接地的外部底板136電隔離。吾人應理解,下部噴淋頭電極板301用以作為處理氣體分配板和射頻傳輸電極。
處理氣體供應通道307係形成穿過下部電極組件304以將來自處理氣體供應器311之處理氣體供應至下部噴淋頭電極板301的內部區域303,如箭頭309所指示。供應至下部噴淋頭電極板301的射頻電力作用為將處理氣體轉換成為電漿30於下部噴淋頭電極板301的內部區域303內。
鑑於上述情況,介電上部板105A代表第一上部板,其係設置為平行於下部噴淋頭電極板301且與下部噴淋頭電極板301間隔開,其中第一上部板係由絕緣材料形成。此外,上部電極板107代表第二上部板,其係設置為相鄰於第一上部板,俾使第一上部板位於下部噴淋頭電極板301和第二上部板之間,其中第二上部板電連接至參考接地電位128。
系統300亦可包含一組升降銷111A,用於在將工件109放置於腔室101中之工件109的處理,以及將工件109從腔室101內取出。然而,與系統100中的介電升降銷111不同,系統300中的該組升降銷111A並非在腔室101內之電漿處理操作期間,用以作為支撐工件109之介電支架用。相反地,如同系統200,系統300包含一介電邊緣環201,用以作為工件109的介電支架。
如上面所討論的,介電邊緣環201係由一介電材料形成並具有環形形狀,該環形形狀之上部表面係定義為接觸並支撐工件109的底部表面之外周區域,並以電隔離的方式將工件109支撐在介於下部噴淋頭電極板301的上部表面以及介電上部板105A(即第一上部板)的下部表面之間的區域340內。另外,如前面所討論的,介電邊緣環201包含通風口205,通風孔205係定義為允許來自位於工件109下方之區域的處理氣體和電漿處理副產物材料的流動。吾人應理解,介電邊緣環201係由介電材料形成,具有定義為在工件109的底部表面之徑向外周支撐工件109的頂部表面,且包含通孔、通風孔、或其它類型的通道,俾使介電邊緣環201作為從工件109下方之電漿處理容積離開的處理氣體和電漿處理副產物材料的隔板。
在系統300中,介電邊緣環201係定義為以一可控制的方式延伸到介於下部噴淋頭電極板301和介電上部板105A之間的區域340中,以當工件109位於介電邊緣環201上時,控制介於工件109的頂部表面及介電上部板105A之間的距離112。介電邊緣環201係定義為將工件109設置在鄰近於且實質上平行於介電上部板105A(第一上部板)之位置,俾使淨化氣體係從工件109之頂部表面上的淨化氣體供應通道115流經過位於介電上部板105A的下部表面(第一上部板)和工件109的上部表面之間的間隙113,以當工件109位於介電邊緣環201上時,防止電漿302的反應性成分到達工件109的頂部表面。
介電邊緣環201延伸到下部噴淋頭電極板301和介電上部板105A之間的區域340中亦於工件109下方及下部噴淋頭電極板301上方形成電漿生成容積,俾使工件109的底部表面可暴露至生成在電漿生成容積內的電漿302。因此,介電邊緣環201亦作用以將電漿302侷限於工件109下方的電漿生成容積。吾人應理解,在一些實施例中,介電邊緣環201相對於下部噴淋頭電極板301的位置係為可調整,從而可提供介於工件109和下部電極板103之間的電漿處理容積之大小的調整。
在系統300之操作以進行電漿處理操作的期間,淨化氣體係從淨化氣體供應器117提供,通過淨化氣體供應通道115,流過工件109的頂部表面上,並從而防止電漿302的反應性成分抵達工件109的頂部表面上。此外,處理氣體係由該處理氣體供應器311通過處理氣體供應通道307供應至下部噴淋頭電極板301的內部區域303,而射頻電力係透過匹配電路125和電連接部127的方式由射頻電源供應器123供應到下部噴淋頭電極板301。射頻電力將位於下部噴淋頭電極板301之內部區域303內的處理氣體轉換為電漿302,藉此電漿302的反應性成分與工件109的底部表面相互作用,以從工件109移除不需要的物質。排氣部131係操作以將淨化氣體和處理氣體兩者從腔室101內抽空,並經由介電邊緣環201的通​​風口205,將處理氣體和電漿處理副產物材料從工件109下方之電漿生成容積抽空至排氣口133,如箭頭139所指示。
吾人應理解,暴露於電漿302的反應性成分之系統300的各種組件的任何部分,可視需要透過利用抗電漿侵蝕性材料和/或透過使用保護塗層,如Y2 O3 或其它陶瓷塗層加以保護。此外,在一些實施例中,如下部噴淋頭電極板301之結構可由薄石英板覆蓋。
在使用系統300進行電漿處理操作的期間,來自工件109的底部表面之材料的蝕刻速率為施加到處理氣體的射頻電力和在腔室101內的處理氣體之壓力的部分函數。更具體而言,較高的射頻電力產生來自工件109之底部表面的材料之更高的蝕刻速率,反之亦然。並且,腔室101內之較低壓力的處理氣體來自工件109之底部表面的材料之更高的蝕刻速率,反之亦然。此外,在遍及工件109的底部表面上的材料的蝕刻速率之均勻性,在當腔室101內之處理氣體的壓力較低時係改善。
在各種實施例中,射頻電力由射頻電源供應器123以在約100 W延伸至約10 kW的範圍內提供。在一些實施例中,射頻電力由射頻電源供應器123以在約1 kW延伸至約 3 kW的範圍內提供。在一些實施例中,射頻電力由射頻電源供應器123以在約2 MHz延伸至約 60 MHz的範圍內提供。在一些實施例中,直流(DC)電源亦可施加至下部電極板103。另外,在一些實施例中,射頻電力的數個頻率可在同一時間或在不同的時間,例如以循環的方式,供應至下部電極板103。
在一些實施例中,腔室內的處理氣體之壓力係控制在從約50 mT 延伸至約10 T的範圍內。在一些實施例中,腔室中的處理氣體之壓力係控制在延伸至約2T的範圍內。在一些實施例中,處理氣體係在從約每分鐘0.1slm至約5 slm的範圍內之流率供應至電漿102生成容積。在一些實施例中,處理氣體係在從約每分鐘1 slm至約5 slm 的範圍內之流率供應至電漿102生成容積。
圖3B顯示,根據本發明之一實施例,定義為使用遠端電漿源184之圖3A的半導體處理系統300之變型。遠端電漿源184係定義為生成電漿302的反應性成分於腔室101的外部,並使電漿302的反應性成分流經管道180至下部噴淋頭電極板301之內部區域303,如箭頭182所指示,最終至工件109下方之區域。
處理氣體、淨化氣體和電漿302的反應副產物材料透過排氣部131的方式通過端口133從腔室101抽空,如箭頭139所指示。在各種實施例中,遠端電漿源184係定義為利用射頻電力、微波電力、或其組合生成電漿302的反應性成分。此外,在各種實施例中,遠端電漿源184係定義為電容耦合電漿源或感應耦合電漿源。
在各種實施例中,在從約1 kW延伸至約10 kW的範圍內之射頻電力係用以生成電漿302在遠端電漿源184內。在一些實施例中,從約5 kW延伸至約8 kW之範圍內的射頻電力係用以在遠端電漿源184內生成電漿302。在一些實施例中,從約2 MHz延伸至約60 MHz的頻率之範圍內的射頻電力係用以在遠端電漿源184內生成電漿302。在一些實施例中,直流(DC)電源亦可施加至下部噴淋頭電極板301。另外,在一些實施例中,射頻電力的數個頻率可在同一時間或在不同的時間,例如以循環的方式,用以生成電漿302於遠端電漿源184中。
在一些實施例中,在遠端電漿源184內的處理氣體之壓力係控制在從約0.1 T延伸至約10T的範圍內。在一些實施例中,在遠端電漿源184內的處理氣體之壓力係控制在從約1T延伸至約10T的範圍內。在一些實施例中,處理氣體係以從約 0.1slm 延伸至約 5slm 的範圍內之流率供應至遠端電漿源184。在一些實施例中,處理氣體係以從約 1slm 延伸至約 5slm 的範圍內之流率供應至遠端電漿源184。
圖3C顯示,根據本發明之一實施例,配置為將工件109降低以放置於下部電極組件304上的半導體處理系統300,以進行工件109的外周邊緣之電漿處理。在此實施例中,淨化氣體係流動通過淨化氣體供應通道115且處理氣體係流動通過處理氣體供應通道119。介電邊緣環201係完全縮回,俾使工件109在一鄰近且實質上平行於介電上部板105之位置設置於下部電極組件104上,俾使該淨化氣體從位於工件109之頂部表面上的淨化氣體供應通道115流動於介電上部板105和工件109的頂部表面之間,以防止處理氣體流過工件109的頂部表面,以及使處理氣體繞工件109的外周邊緣流動。
在介電上部板105的外周之淨化氣體流防止處理氣體和電漿302A的任何反應性成分進入在工件109的頂部表面上之區域。該處理氣體圍繞工件109之外周邊緣流動,並由射頻電力轉換成電漿302A,射頻電力係經由電連接部127傳輸到下部電極板103。電漿302A係暴露至工件109的外周邊緣,以與來自工件109的這些區域的材料反應並移除不需要的材料。處理氣體、淨化氣體和電漿302A的反應副產物材料透過排氣部131的方式通過端口133從腔室101抽空,如箭頭139所指示。
圖4顯示,根據本發明之一實施例,為相對於圖3A所描述之系統300的變型之半導體處理系統400。具體地,圖4的系統400係與圖3A的系統300相同,不同之處在於介電上部板105A係替換為由導電材料形成的導電上部板105B。圖4的系統400之所有其它特徵係同於上述相對於圖3A的系統300所討論者。導電上部板105B係電連接至參考接地電位128。因此,在系統400中,工件109係透過其靠近導電上部板105B的方式電容性地耦合至參考接地電位。
圖5A和5B顯示,根據本發明之一實施例,亦為相對於圖3A所描述之系統300的變型之半導體處理系統500。具體地,圖5A和5B之系統500係與圖3A的系統300相同,不同之處在於上部電極組件306係由一可配置的上部電極組件510取代,並提供了上部處理氣體供應器501。圖5A和5B中的系統500之其它特徵係同於上述相對於圖3A中之系統300所討論者。
在系統500中,可配置的上部電極組件510包含一導電內部電極板505、介電構件503、和上部電極板107。介電構件503係作用為使導電內部電極板505與上部電極板107電隔離。上部電極板107係透過電連接部129的方式電連接至參考接地電位128。導電內部電極板505係透過電連接部507的方式電連接至開關509,且開關509係依次電連接至參考接地電位512。以此方式,開關509提供導電內部電極板505與參考接地電位512之電連接的控制。
此外,系統500包含形成穿過可配置的上部電極組件510之處理氣體供應通道119,類似於參照圖1A的系統100所討論之形成穿過上部電極組件108的處理氣體供應通道119。處理氣體供應通道119係流體連接至包含處理氣體的上部處理氣體供應器501。處理氣體係定義為當暴露至射頻電力時,轉換成電漿302。處理氣體供應通道119係形成以當工件位於介電邊緣環201上時,提供處理氣體至工件109的外周附近之位置。閥502係提供以控制經過處理氣體供應通道119之處理氣體的流動,俾使當進行工件109的背面電漿清洗時,來自上部處理氣體供應器501的處理氣體之流動可被切斷,且當進行工件109的斜面邊緣電漿清洗時可被開啓。
圖5A顯示配置為執行工件109的背面電漿清洗之系統500。在此配置中,介電邊緣環201係被升高以產生電漿處理容積於工件109下方,且處理氣體係從下部處理氣體供應器311供應至下部噴淋頭電極板301的內部區域303,以生成工件109下方之電漿302。另外,在此配置中,閥502被關閉以關閉來自上部處理氣體供應器501之處理氣體的流動。在此結構中,淨化氣體係從淨化氣體供應器117供應至介於可配置之上部電極組件510和工件109之間的間隙113,以防止電漿302的反應性成分到達工件109的頂部表面。此外,在此配置中,開關509被設定為將導電內部電極板505電連接至參考接地電位512。以此方式,工件109係通過導電內部電極板505電容性地耦合至參考接地電位512。否則,使用系統500的工件109之背面電漿清洗係實質上相同於參照圖3A的系統300所描述者。
圖5B顯示配置為用以進行工件109的斜面邊緣電漿清洗之系統500。在此配置中,介電邊緣環201被完全降下,俾使工件直接置於下部噴淋頭電極板301上。另外,在此配置中,下部電極組件304和可配置的上部電極組件510係朝彼此移動,俾使工件109的頂部表面靠近可配置的上部電極組件510,以形成間隙113。在此配置中,閥502係打開以打開來自上部處理氣體供應器501至工件109的外周區域之處理氣體的流動。另外,在此配置中,淨化氣體係從淨化氣體供應器117供應至介於可配置的上部電極組件510和工件109之間的間隙113,以防止電漿513的反應性成分到達工件109的頂部表面。
另外,在圖5B的配置中,射頻電力係從射頻電源供應器123供應至下部噴淋頭電極板301。射頻電力透過傳輸路徑傳播,該等傳輸路徑從下部噴淋頭電極板301延伸至接地外部底板137和接地上部電極板107,從而將供應到工件109的外周區域的處理氣體轉換為電漿513。當此發生時,淨化氣體從淨化氣體供應通道115的位於中央之分配位置通過間隙113徑向向外流動朝向工件109的外周,從而防止電漿513的反應性成分進入間隙113並與工件109的頂部表面交互作用。此外,吾人應理解,在圖5B的配置中,處理氣體並非從下部處理氣體供應器311供應至下部噴淋頭電極板301的內部區域303。
另外,在圖5B的配置中,開關509係設定為將導電內部電極板505從參考接地電位512電斷開,從而使導電內部電極板505具有一浮動電位。以此方式,工件109並非電容性地耦合至參考接地電位512,以防止由於射頻供電之下部噴淋頭電極板301更接近可配置的上部電極組件510所導致之在間隙113內的電弧或其它不理想的現象。另外,在圖5B的配置中,排氣部131係操作以處理氣體、淨化氣體、和電漿處理副產物材料從電漿513生成處之工件109的外周區域抽出,至排氣口133,如箭頭139所示。
圖5C顯示,根據本發明之一實施例,定義為使用遠端電漿源184之圖5A的半導體處理系統500之變型。遠端電漿源184係定義為生成電漿302的反應性成分於腔室101的外部,並使電漿302的反應性成分流經管道180至下部噴淋頭電極板301之內部區域303,如箭頭182所指示,最終至工件109下方之區域。
處理氣體、淨化氣體和電漿302的反應副產物材料透過排氣部131的方式通過端口133從腔室101抽空,如箭頭139所指示。在各種實施例中,遠端電漿源184係定義為利用射頻電力、微波電力、或其組合生成電漿302的反應性成分。此外,在各種實施例中,遠端電漿源184係定義為電容耦合電漿源或感應耦合電漿源。
在各種實施例中,約1 kW延伸至約10 kW的範圍內的射頻電力被用來產生在遠端電漿源184的電漿302。在各種實施例中,在從約5 kW延伸至約8 kW的範圍內之射頻電力係用以生成電漿302在遠端電漿源184內。在一些實施例中,從約2 MHz延伸至約60 MHz的頻率之範圍內的射頻電力係用以在遠端電漿源184內生成電漿302。在一些實施例中,直流(DC)電源亦可施加至下部噴淋頭電極板301。另外,在一些實施例中,射頻電力的數個頻率可在同一時間或在不同的時間,例如以循環的方式,生成電漿302於遠端電漿源184中。
在一些實施例中,在遠端電漿源184內的處理氣體之壓力係控制在從約0.1 T延伸至約10T的範圍內。在一些實施例中,在遠端電漿源184內的處理氣體之壓力係控制在從約1T延伸至約10T的範圍內。在一些實施例中,處理氣體係以從約 0.1slm 延伸至約 5slm 的範圍內之流率供應至遠端電漿源184。在一些實施例中,處理氣體係以從約 1slm 延伸至約 5slm 的範圍內之流率供應至遠端電漿源184。
圖6顯示,根據本發明之一實施例,用以對工件之底部表面進行電漿清洗的方法之流程圖。該方法包含操作601,用於將工件的底部表面設置於一介電支架上,該介電支架係定義為以電隔離的方式將該工件支撐在一介於下部電極板以及介電上部板之間的區域內,一上部電極板係設置相鄰於介電上部板的上部表面。下部電極板係連接以接收射頻電力。上部電極板電係連接至一參考接地電位。該方法亦包含操作603,用於設置介電支架,俾使工件的頂部表面係由一狹窄間隙與介電上部板的下部表面分開,且俾使一開放區域存在於工件的底部表面和下部電極板的上部表面之間。
該方法亦包含操作605,用於流動淨化氣體至位於工件之頂部表面以及介電上部板之下部表面之間的狹窄間隙內,俾使淨化氣體以遠離中央位置之方向流經該狹窄間隙流向工件之外周。該方法亦包含操作607,用於流動處理氣體至位於狹窄間隙外側的工件之外周區域,藉此使處理氣體流入工件的底部表面和下部電極板的上部表面之間的區域內。吾人應理解,淨化氣體以遠離中央位置之方向經過狹窄間隙流向工件之外周的流動,防止處理氣體流入狹窄間隙並流過工件的頂部表面。
該方法亦包含操作609,用以供應射頻電力至該下部電極板,以將處理氣體轉換成電漿,圍繞工件的外周區域,以及在工件的底部表面和下部電極板之上部表面之間的區域內。本方法亦可包含一操作,用以將氣體從位於下部電極板之頂部表面上的區域排出,以將電漿蝕刻副產物從工件處移除。
在本方法的一實施例中,介電支架係定義為一組介電升降銷,其延伸穿過下部電極板以用一電隔離的方式將工件支撐在介於下部電極板的上部表面和介電上部板的下部表面之間的區域內。在此實施例中,設置介電支架俾使工件的頂部表面係由在操作603中的狹窄間隙與介電上部板的下部表面間隔開之操作,係藉由將該組介電升降銷移動朝向介電上部板的下部表面而為之。
在本方法的另一實施例中,介電支架係定義為一介電邊緣環,該介電邊緣環具有環形形狀,其上部表面係定義為接觸並支撐工件的底部表面之外周區域。介電邊緣環包含通風孔,定義為使處理氣體可流動進入介於工件的底部表面以及下部電極板的上部表面之間的區域中,以及將來自下部電極板之上部表面上的區域之氣體可排出。
圖7顯示,根據本發明之一實施例,用以對工件之底部表面進行電漿清洗的方法之流程圖。本方法包含操作701,用以將工件設置於介電邊緣環上,該介電邊緣環具有環形形狀,其上部表面係定義為接觸並支撐工件的底部表面之外周區域。介電邊緣環係定義為以電隔離的方式將工件支撐在介於下部噴淋頭電極板的上部表面和第一上部板的下部表面之間的區域內。第二上部板係位於第一上部板的上部表面旁。下部噴淋頭電極板係連接以接收射頻電力。第二上部板電連接至參考接地電位。
該方法亦包含操作703,用以設置介電邊緣環,俾使工件的頂部表面係由一狹窄間隙與第一上部板的下部表面分開,並俾使一開放區域出現在位於介電邊緣環內之工件的底部表面和下部噴淋頭電極板的上部表面之間。該方法亦包含操作705,用以流動淨化氣體至位於該狹窄間隙內的中央位置,俾使淨化氣體通過該狹窄間隙,以遠離中央位置的方向流動朝向工件的外周。該方法亦包含操作707,用於使處理氣體流動至下部噴淋頭電極板的內部區域。
該方法亦包含操作709,用於提供射頻電力至下部噴淋頭電極板,以將該處理氣體轉換成電漿於下部噴淋頭電極板之內部區域內,藉此電漿的反應性成分從下部噴淋頭電極板的內部區域流經通風口進入介於位在介電邊緣環內之工件的底部表面以及下部噴淋頭電極板的上部表面之間的開放區域。該方法亦可包含一操作,用於將來自介於位在介電邊緣環內之工件的底部表面以及下部噴淋頭電極板的上部表面之間的開放區域之氣體經由界定在該介電邊緣環內的通風口排出。
圖8顯示,根據本發明之一實施例,用以在常見的,即,單一,電漿處理系統內之工件上進行斜面邊緣的電漿清洗處理和背面清洗處理兩者的方法之流程圖。該方法包含操作801,其中一斜面邊緣的電漿清洗處理係於工件上進行,該工件之底部係直接放置在一射頻供電的下部電極上,且提供淨化氣體流的狹窄間隙流動於該工件的頂部表面上。在操作801中,上部結構件係設置在工件上方,以形成淨化氣體之狹窄間隙的流動於工件的頂部表面上。在一實施例中,操作801的斜面邊緣的電漿清洗處理係使用由射頻電力在13.56MHz所產生的電容耦合電漿進行。然而,吾人應理解,在其它實施例中,可使用在其它頻率、功率、和工作週期之射頻電力,以及使用任何合適的處理氣體來執行該斜面邊緣的電漿清洗處理。
當操作801之斜面邊緣的電漿清洗處理完成後,執行操作803,其中工件係被升高於下部電極上方,以在工件的底部表面下形成電漿處理容積。另外,在操作803中,用於淨化氣體之流動的狹窄間隙係維持在工件的頂部表面上。在一實施例中,工件係透過升降銷被升高至下部電極上方,如相對於圖1A所描述者。在另一實施例中,工件係透過排氣式介電邊緣環被升高至下部電極上方,如相對於圖2A所描述者。
該方法延續至操作805,用以供應電漿的反應性成分至位於工件的底部表面下方之電漿處理空間,以實現工件之底部表面的電漿清洗。在一實施例中,操作805包含使用遠端生成之電漿以生成電漿的反應性成分,以及提供該電漿的反應性成分至位於工件的底部表面下方之電漿處理容積。在另一實施例中,處理氣體係流動至工件的底部表面下方之電漿處理容積,且施加射頻電力以將處理氣體轉換成電漿於工件之底部表面下方的電漿處理空間內。在任一實施例中,位於工件的底部表面下方之電漿處理空間內的電漿之反應性成分可與目標膜或材料進行交互作用並將之從工件的底部表面移除。此外,在操作805期間,係維持一淨化氣體的流動於工件的頂部表面上,以防止電漿的反應性成分或任何其它副產物材料接觸工件的頂部表面並與工件的頂部表面相互作用。
吾人應理解,本文所揭露的各種半導體處理系統於一單一工具,即,單一腔室內,提供用於斜面邊緣的電漿清洗處理和背面電漿清洗處理兩者之性能。此外,吾人應理解,本文所討論的背面電漿清洗處理對於從工件的底部表面移除碳、光阻、以及其它碳相關的聚合物而言特別有用,因為這些材料在替代性的濕式清潔處理中難以移除。此外,吾人應理解,本文所討論的背面電漿清洗處理可提供比替代性的濕式清潔處理更高的清潔處理量,此係由於在背面電漿清潔處理中的電漿之更高的蝕刻率。
本發明雖已根據若干個實施例進行描述,但吾人可理解,熟習本領域技術者在閱讀前述說明書以及研究附圖後,將實現其各種替換、添加、修改及均等物。因此,欲使本發明包含所有這樣的替換、添加、修改及均等物落於本發明的真實精神和範圍內。
100‧‧‧半導體處理系統
101‧‧‧腔室
102‧‧‧電漿
102A‧‧‧電漿
103‧‧‧下部電極板
104‧‧‧下部電極組件
105‧‧‧介電上部板
105A‧‧‧介電上部板
105B‧‧‧導電上部板
107‧‧‧上部電極板
108‧‧‧上部電極組件
109‧‧‧工件
111‧‧‧介電升降銷
111A‧‧‧升降銷
112‧‧‧距離
113‧‧‧間隙
115‧‧‧淨化氣體供應通道
115A‧‧‧通道
117‧‧‧淨化氣體供應器
119‧‧‧處理氣體供應通道
119A‧‧‧開放區域
119B‧‧‧通​​道
121‧‧‧處理氣體供應器
123‧‧‧射頻(RF)電源供應器
125‧‧‧匹配電路
127‧‧‧電連接部
127A‧‧‧電連接部
128‧‧‧參考接地電位
129‧‧‧電連接部
131‧‧‧排氣部
133‧‧‧端口
135‧‧‧內部底板
136‧‧‧外部底板
137‧‧‧參考接地電位
138‧‧‧參考接地電位
139‧‧‧箭頭
140‧‧‧區域
180‧‧‧管道
182‧‧‧箭頭
184‧‧‧遠端電漿源
200‧‧‧半導體處理系統
201‧‧‧介電邊緣環
201A‧‧‧環形形狀環
203‧‧‧電漿
203A‧‧‧電漿
204‧‧‧結構構件
205‧‧‧通風口
300‧‧‧半導體處理系統
301‧‧‧下部噴淋頭電極板
302‧‧‧電漿
302A‧‧‧電漿
303‧‧‧內部區域
304‧‧‧下部電極組件
305‧‧‧通風口
306‧‧‧上部電極組件
307‧‧‧處理氣體供應通道
309‧‧‧箭頭
311‧‧‧處理氣體供應器
340‧‧‧區域
400‧‧‧半導體處理系統
500‧‧‧半導體處理系統
501‧‧‧上部處理氣體供應器
502‧‧‧閥
503‧‧‧介電構件
505‧‧‧導電內部電極板
507‧‧‧電連接部
509‧‧‧開關
510‧‧‧上部電極組件
512‧‧‧參考接地電位
513‧‧‧電漿
601‧‧‧操作
603‧‧‧操作
605‧‧‧操作
607‧‧‧操作
609‧‧‧操作
701‧‧‧操作
703‧‧‧操作
705‧‧‧操作
707‧‧‧操作
709‧‧‧操作
801‧‧‧操作
803‧‧‧操作
805‧‧‧操作
圖1A顯示根據本發明之一實施例之半導體處理系統。
圖1B顯示,根據本發明之一實施例,圖1A中所表示之A-A的橫剖面圖。
圖1C顯示,根據本發明之一實施例,該半導體處理系統之變化,其中該處理供應氣體通道係定義為在圍繞該介電上部板的外周的各個位置處穿過該介電上部板。
圖1D顯示,根據本發明之一實施例,圖1C中所表示之A-A的橫剖面圖。
圖1E顯示,根據本發明之一實施例,定義為使用遠端電漿源之圖1A的半導體處理系統之變型。
圖1F顯示,根據本發明之一實施例,配置為將工件降低以放置於下部電極組件上,以進行該工件的外周邊緣之電漿處理的圖1A之半導體處理系統。
圖2A顯示,顯示根據本發明之一實施例之半導體處理系統。
圖2B顯示,根據本發明之一實施例,圖2A中所標示之B-B的橫剖面圖。
圖2C為根據本發明之一實施例的範例實施例,其中介電邊緣環係定義為數個環形形狀環之一堆疊,由形成通風口的空間與彼此分離。
圖2D顯示,根據本發明之一實施例,圖2A之定義為使用遠端電漿源的半導體處理系統的變型。
圖2E顯示,根據本發明之一實施例,圖2A之配置為將工件降低以放置於下部電極組件上的半導體處理系統,以進行該工件的外周邊緣的電漿處理。
圖3A顯示根據本發明之一實施例之半導體處理系統。
圖3B顯示,根據本發明之一實施例,定義為使用遠端電漿源之圖3A的半導體處理系統之變型。
圖3C顯示,根據本發明之一實施例,圖3A之配置為將工件降低以放置於下部電極組件上的半導體處理系統,以進行該工件的外周邊緣的電漿處理。
圖4顯示,根據本發明之一實施例,為相對於圖3A所描述之系統的變型之半導體處理系統。
圖5A和5B顯示,根據本發明之一實施例,亦為相對於圖3A所描述之系統的變型之半導體處理系統。
圖5C顯示,根據本發明之一實施例,定義為使用遠端電漿源之圖5A的半導體處理系統之變型。
圖6顯示,根據本發明之一實施例,用以對工件之底部表面進行電漿清洗的方法之流程圖。
圖7顯示,根據本發明之一實施例,用以對工件之底部表面進行電漿清洗的方法之流程圖。
圖8顯示,根據本發明之一實施例,用以在常見的電漿處理系統內之工件上進行斜面邊緣的電漿清洗處理和背面清洗處理兩者的方法之流程圖。

Claims (20)

  1. 一種半導體處理系統,包含: 一處理腔室,其包含: 一下部電極板, 一上部板,其係設置於該下部電極板上方且與該下部電極板實質上平行,該上部板具有形成以延伸通過該上部板之一底部表面的一氣體供應通道,以及 一介電邊緣環,其具有定義為接觸並支撐一基板之一底部表面之一外周區域的一上部表面,該介電邊緣環係形成以外接該下部電極板且以可控制的方式延伸於該下部電極板上方,進入該下部電極板與該上部板之間的一區域中,使得一下部處理區域係形成於該介電邊緣環內側、介於該下部電極板之一頂部表面與對應於該介電邊緣環之該上部表面的一平面之間; 一管道,其係配置成延伸進入該處理腔室至該下部處理區域;以及 一遠端電漿源,其係配置成在該處理腔室外部產生一電漿的反應性成分並使該電漿的該等反應性成分流過該管道至該下部處理區域。
  2. 如申請專利範圍第1項之半導體處理系統,其中該遠端電漿源係配置成利用射頻功率產生該電漿的反應性成分。
  3. 如申請專利範圍第2項之半導體處理系統,其中該射頻功率係在從約1千瓦延伸至約10千瓦的範圍內。
  4. 如申請專利範圍第2項之半導體處理系統,其中該射頻功率係在從約5千瓦延伸至約8千瓦的範圍內。
  5. 如申請專利範圍第2項之半導體處理系統,其中該射頻功率係利用從約2百萬赫延伸至約60百萬赫之範圍內的一或更多射頻訊號產生。
  6. 如申請專利範圍第1項之半導體處理系統,其中該遠端電漿源係配置成利用微波功率產生該電漿的反應性成分。
  7. 如申請專利範圍第1項之半導體處理系統,其中該遠端電漿源係配置成利用射頻功率與微波功率的組合產生該電漿的反應性成分。
  8. 如申請專利範圍第1項之半導體處理系統,其中該遠端電漿源係配置成一電容耦合電漿源。
  9. 如申請專利範圍第1項之半導體處理系統,其中該遠端電漿源係配置成一電感耦合電漿源。
  10. 如申請專利範圍第1項之半導體處理系統,其中該遠端電漿源係配置成利用一製程氣體產生該電漿的反應性成分,該製程氣體係以從約每分鐘0.1標準公升延伸至約每分鐘5標準公升之範圍內的流速、且在從約0.1 Torr延伸至約10 Torr之範圍內的壓力下加以供應。
  11. 如申請專利範圍第1項之半導體處理系統,其中該介電邊緣環係配置成環形環結構之堆疊,該等環形環結構係彼此隔開複數間隔,該等間隔形成用於從該下部處理區域至一排氣區域之流體流通的複數通風口。
  12. 如申請專利範圍第11項之半導體處理系統,其中該介電邊緣環包含連接至環形環結構之該堆疊的複數結構構件,該複數結構構件係位於圍繞該介電邊緣環之一圓周的複數隔開位置處。
  13. 如申請專利範圍第12項之半導體處理系統,其中該複數結構構件係定義成以固定的空間配置固持環形環結構之該堆疊。
  14. 如申請專利範圍第12項之半導體處理系統,其中該複數結構構件係定義成提供環形環結構之該堆疊之一空間配置的受控制之變化,使得形成該等通風口之該等環形環之間的間隔在尺寸方面係可藉由調整該複數結構構件而加以調整。
  15. 如申請專利範圍第11項之半導體處理系統,其中每一環形環結構具有實質上相同的尺寸及形狀。
  16. 如申請專利範圍第1項之半導體處理系統,更包含: 一射頻電源,其係連接成供應射頻訊號至該下部電極板。
  17. 如申請專利範圍第1項之半導體處理系統,其中該上部板包含定位成暴露至該下部電極板的一介電上部板。
  18. 如申請專利範圍第17項之半導體處理系統,其中該上部板包含一上部電極板,其中該介電上部板係定位於該上部電極板與該下部電極板之間。
  19. 一種基板電漿清洗方法,包含: 將一基板定位於一處理腔室內的一介電邊緣環上,該介電邊緣環具有定義成接觸並支撐該基板之一底部表面之一外周區域的一上部表面,該介電邊緣環係形成以外接一下部電極板且以可控制的方式延伸於該下部電極板上方,進入該下部電極板與一上部板之間的一區域中,使得一下部處理區域係形成於該介電邊緣環內側、介於該下部電極板之一頂部表面與該基板之該底部表面之間; 在該處理腔室外部的一遠端電漿源內產生一電漿的反應性成分;以及 使該電漿的該等反應性成分流過一管道至該下部處理區域。
  20. 如申請專利範圍第19項之基板電漿清洗方法,更包含: 使一製程氣體流至該基板的一外周區域; 使一淨化氣體流過該上部板的一中心位置至該基板之一頂部表面的中心位置,該淨化氣體防止該製程氣體流向該基板之該頂部表面的該中心位置;以及 供應射頻功率至該下部電極板,該射頻功率將該製程氣體轉變成一第二電漿,該基板的該外周區域係暴露至該第二電漿。
TW107121818A 2013-07-19 2014-07-18 原位晶圓邊緣及背面電漿清洗用系統及方法 TWI710023B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201361856613P 2013-07-19 2013-07-19
US61/856,613 2013-07-19
US14/032,165 US20150020848A1 (en) 2013-07-19 2013-09-19 Systems and Methods for In-Situ Wafer Edge and Backside Plasma Cleaning
US14/032,165 2013-09-19

Publications (2)

Publication Number Publication Date
TW201834061A true TW201834061A (zh) 2018-09-16
TWI710023B TWI710023B (zh) 2020-11-11

Family

ID=52342571

Family Applications (2)

Application Number Title Priority Date Filing Date
TW103124809A TW201517164A (zh) 2013-07-19 2014-07-18 原位晶圓邊緣及背面電漿清洗用系統及方法
TW107121818A TWI710023B (zh) 2013-07-19 2014-07-18 原位晶圓邊緣及背面電漿清洗用系統及方法

Family Applications Before (1)

Application Number Title Priority Date Filing Date
TW103124809A TW201517164A (zh) 2013-07-19 2014-07-18 原位晶圓邊緣及背面電漿清洗用系統及方法

Country Status (4)

Country Link
US (2) US20150020848A1 (zh)
KR (3) KR102329971B1 (zh)
SG (2) SG10201800418RA (zh)
TW (2) TW201517164A (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI755292B (zh) * 2021-02-26 2022-02-11 友威科技股份有限公司 可單面或雙面電漿製程機台
TWI787958B (zh) * 2021-08-18 2022-12-21 南韓商Psk有限公司 基板處理設備及基板處理方法

Families Citing this family (211)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10658222B2 (en) 2015-01-16 2020-05-19 Lam Research Corporation Moveable edge coupling ring for edge process control during semiconductor wafer processing
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10851457B2 (en) 2017-08-31 2020-12-01 Lam Research Corporation PECVD deposition system for deposition on selective side of the substrate
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
KR102538177B1 (ko) * 2017-11-16 2023-05-31 삼성전자주식회사 상부 샤워 헤드 및 하부 샤워 헤드를 포함하는 증착 장치
KR102404061B1 (ko) * 2017-11-16 2022-05-31 삼성전자주식회사 상부 샤워 헤드 및 하부 샤워 헤드를 포함하는 증착 장치
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11462387B2 (en) * 2018-04-17 2022-10-04 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US10943768B2 (en) * 2018-04-20 2021-03-09 Applied Materials, Inc. Modular high-frequency source with integrated gas distribution
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
KR20210111872A (ko) 2018-08-13 2021-09-13 램 리써치 코포레이션 에지 링 포지셔닝 및 센터링 피처들을 포함하는 플라즈마 시스 튜닝을 위한 교체가능한 에지 링 어셈블리 및/또는 접을 수 있는 에지 링 어셈블리
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
KR102678588B1 (ko) 2018-11-14 2024-06-27 램 리써치 코포레이션 차세대 리소그래피에서 유용한 하드 마스크들을 제조하기 위한 방법들
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
CN113795610A (zh) * 2019-04-26 2021-12-14 朗姆研究公司 在处理室中衬底的高温加热
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
KR20230037057A (ko) * 2019-08-16 2023-03-15 램 리써치 코포레이션 웨이퍼 내에서 차동 보우를 보상하기 위한 공간적으로 튜닝 가능한 증착
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
CN114200776A (zh) 2020-01-15 2022-03-18 朗姆研究公司 用于光刻胶粘附和剂量减少的底层
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
KR102116474B1 (ko) 2020-02-04 2020-05-28 피에스케이 주식회사 기판 처리 장치 및 기판 처리 방법
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
GB202001781D0 (en) 2020-02-10 2020-03-25 Spts Technologies Ltd Pe-Cvd apparatus and method
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
US20230045336A1 (en) * 2020-07-07 2023-02-09 Lam Research Corporation Integrated dry processes for patterning radiation photoresist patterning
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
US20220108872A1 (en) * 2020-10-05 2022-04-07 Applied Materials, Inc. Bevel backside deposition elimination
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US20240096605A1 (en) * 2022-09-16 2024-03-21 Applied Materials, Inc. Backside deposition for wafer bow management

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5169407A (en) * 1987-03-31 1992-12-08 Kabushiki Kaisha Toshiba Method of determining end of cleaning of semiconductor manufacturing apparatus
US4913929A (en) * 1987-04-21 1990-04-03 The Board Of Trustees Of The Leland Stanford Junior University Thermal/microwave remote plasma multiprocessing reactor and method of use
US5002632A (en) * 1989-11-22 1991-03-26 Texas Instruments Incorporated Method and apparatus for etching semiconductor materials
JP3942672B2 (ja) * 1996-04-12 2007-07-11 キヤノンアネルバ株式会社 基板処理方法および基板処理装置
US6243112B1 (en) * 1996-07-01 2001-06-05 Xerox Corporation High density remote plasma deposited fluoropolymer films
US6433484B1 (en) * 2000-08-11 2002-08-13 Lam Research Corporation Wafer area pressure control
US6926803B2 (en) * 2002-04-17 2005-08-09 Lam Research Corporation Confinement ring support assembly
KR100585089B1 (ko) * 2003-05-27 2006-05-30 삼성전자주식회사 웨이퍼 가장자리를 처리하기 위한 플라즈마 처리장치,플라즈마 처리장치용 절연판, 플라즈마 처리장치용하부전극, 웨이퍼 가장자리의 플라즈마 처리방법 및반도체소자의 제조방법
US20050263070A1 (en) * 2004-05-25 2005-12-01 Tokyo Electron Limited Pressure control and plasma confinement in a plasma processing chamber
US7597816B2 (en) * 2004-09-03 2009-10-06 Lam Research Corporation Wafer bevel polymer removal
JP4502198B2 (ja) * 2004-10-21 2010-07-14 ルネサスエレクトロニクス株式会社 エッチング装置およびエッチング方法
US20060266288A1 (en) * 2005-05-27 2006-11-30 Applied Materials, Inc. High plasma utilization for remote plasma clean
US7909960B2 (en) * 2005-09-27 2011-03-22 Lam Research Corporation Apparatus and methods to remove films on bevel edge and backside of wafer
US20080179287A1 (en) * 2007-01-30 2008-07-31 Collins Kenneth S Process for wafer backside polymer removal with wafer front side gas purge
US7552736B2 (en) * 2007-01-30 2009-06-30 Applied Materials, Inc. Process for wafer backside polymer removal with a ring of plasma under the wafer
US8083963B2 (en) * 2007-02-08 2011-12-27 Applied Materials, Inc. Removal of process residues on the backside of a substrate
US8329593B2 (en) * 2007-12-12 2012-12-11 Applied Materials, Inc. Method and apparatus for removing polymer from the wafer backside and edge
JP5548841B2 (ja) * 2008-01-16 2014-07-16 チャーム エンジニアリング シーオー エルティーディー 基板処理装置
US8373086B2 (en) * 2008-04-07 2013-02-12 Charm Engineering Co., Ltd. Plasma processing apparatus and method for plasma processing
US20090293907A1 (en) * 2008-05-28 2009-12-03 Nancy Fung Method of substrate polymer removal
US10049881B2 (en) * 2011-08-10 2018-08-14 Applied Materials, Inc. Method and apparatus for selective nitridation process
KR101495288B1 (ko) * 2012-06-04 2015-02-24 피에스케이 주식회사 기판 처리 장치 및 방법

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI755292B (zh) * 2021-02-26 2022-02-11 友威科技股份有限公司 可單面或雙面電漿製程機台
TWI787958B (zh) * 2021-08-18 2022-12-21 南韓商Psk有限公司 基板處理設備及基板處理方法

Also Published As

Publication number Publication date
KR20210006478A (ko) 2021-01-18
TW201517164A (zh) 2015-05-01
KR102600227B1 (ko) 2023-11-09
KR20150010669A (ko) 2015-01-28
TWI710023B (zh) 2020-11-11
US20170256393A1 (en) 2017-09-07
SG10201800418RA (en) 2018-02-27
KR20220036933A (ko) 2022-03-23
SG10201404208SA (en) 2015-02-27
KR102329971B1 (ko) 2021-11-23
US20150020848A1 (en) 2015-01-22

Similar Documents

Publication Publication Date Title
KR102600227B1 (ko) 인―시츄 웨이퍼 에지 및 후측면 플라즈마 세정용 시스템 및 방법들
CN107516626B (zh) 用于原位晶片边缘和背侧等离子体清洁的系统和方法
KR102556016B1 (ko) 플라즈마 프로세싱을 위한 가변하는 두께를 갖는 상부 전극
JP5279656B2 (ja) プラズマ処理装置
US20210130955A1 (en) Film forming apparatus and film forming method
TWI414017B (zh) Plasma processing device and plasma processing method
US7552736B2 (en) Process for wafer backside polymer removal with a ring of plasma under the wafer
US6063441A (en) Processing chamber and method for confining plasma
WO2007046414A1 (ja) 処理装置
KR20150017689A (ko) 플라즈마 프로세싱 장치를 위한 실리콘 함유 한정 링 및 이의 형성 방법
KR20130141455A (ko) 반도체 기판의 가변 밀도 플라즈마 프로세싱
JP2013533640A (ja) 処理チャンバ内のガスの流れを制御するための装置
KR20150086530A (ko) 플라즈마 밀도가 균일한 용량 결합 플라즈마 장비
KR20210115861A (ko) 기판 처리 장치 및 기판 처리 방법
US11195696B2 (en) Electron beam generator, plasma processing apparatus having the same and plasma processing method using the same
US20100307685A1 (en) Microwave plasma processing apparatus
KR20080030713A (ko) 기판 가공 장치
KR20240015371A (ko) 기판 처리 방법 및 기판 처리 장치
KR20040005968A (ko) 애노드형 플라즈마 반응기 및 방법