TW201802281A - 利用間歇性空氣-水曝露之改良自組裝單層阻隔 - Google Patents

利用間歇性空氣-水曝露之改良自組裝單層阻隔 Download PDF

Info

Publication number
TW201802281A
TW201802281A TW106106941A TW106106941A TW201802281A TW 201802281 A TW201802281 A TW 201802281A TW 106106941 A TW106106941 A TW 106106941A TW 106106941 A TW106106941 A TW 106106941A TW 201802281 A TW201802281 A TW 201802281A
Authority
TW
Taiwan
Prior art keywords
substrate
sam
exposed
exposing
molecule
Prior art date
Application number
TW106106941A
Other languages
English (en)
Other versions
TWI672389B (zh
Inventor
托賓 高夫曼歐斯柏恩
基斯達宣 王
Original Assignee
應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 應用材料股份有限公司 filed Critical 應用材料股份有限公司
Publication of TW201802281A publication Critical patent/TW201802281A/zh
Application granted granted Critical
Publication of TWI672389B publication Critical patent/TWI672389B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02307Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a liquid
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/32Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02304Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment formation of intermediate layers, e.g. buffer layers, layers to improve adhesion, lattice match or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02494Structure
    • H01L21/02496Layer structure
    • H01L21/02499Monolayers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76849Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

於此所述的實施方案大體上涉及用於製造半導體裝置的製程,其中使用自組裝單層(SAM)來實現選擇性區域沉積。於此所述的方法涉及交替的SAM分子和羥基部分曝露操作,其可用以形成適於阻擋隨後沉積材料的沉積的SAM層。

Description

利用間歇性空氣-水曝露之改良自組裝單層阻隔
於此所述的實施方案大體上涉及用於製造半導體裝置的製程,其中使用自組裝單層來實現選擇性區域沉積。
可靠地生產亞半微米和更小的特徵是半導體裝置的下一代非常大規模積體(VLSI)和超大規模積體(ULSI)的關鍵技術挑戰之一。然而,隨著電路技術的限制的推進,VLSI和ULSI技術的縮小尺寸已經對處理能力提出了額外的要求。
隨著用於下一代裝置的電路密度增加,互連件(諸如通孔、溝槽、接點、閘極結構和其它特徵以及其間的介電材料)的寬度減小到45nm和32nm的尺寸並且超過。為了能夠製造下一代裝置和結構,通常利用半導體晶片中的特徵的三維(3D)堆疊。特別地,鰭狀場效電晶體(FinFET)通常用以在半導體晶片中形成三維(3D)結構。藉由在三維而不是傳統的二維中佈置電晶體,可將多個電晶體放置在彼此非常接近的積體電路(IC)中。隨著電路密度和堆疊增加,選擇性地將隨後的材料沉積在先前沉積的材料上的能力變得越來越是所欲的。
自組裝單層(SAM)可用作遮罩材料,以改良隨後的材料沉積選擇性。 SAM通常是表面化學依賴性的,且可優先在各種材料上形成。然而,SAM可能在沉積層中顯示針孔或空隙,此舉可能不能有效地防止後續材料層的沉積。 SAM的另一個缺點是沉積時間可能非常長且在半導體製造製程中是不經濟的。
因此,存在有用於SAM形成的改進方法的需求。
在一個實施方案中,提供了一種處理基板的方法。方法包括將基板曝露於自組裝單層(「SAM」)分子,以實現SAM在第一材料上的選擇性沉積,其中基板包含曝露的第一材料和曝露的第二材料。可將基板曝露於羥基部分,且將基板曝露於SAM分子和將基板曝露於羥基部分可分別以約1:1至約5:1之間的時間比例而重複。在執行重複之後,基板可曝露於SAM分子,第三材料可選擇性地沉積在曝露的第二材料上,且SAM可從第一材料移除。
在另一實施方案中,提供了一種處理基板的方法。方法包括將基板曝露於自組裝單層(「SAM」)分子,以實現SAM在第一處理室中的第一材料上的選擇性沉積,其中基板包含曝露的第一材料和曝露的第二材料。基板可被轉移到第二處理室,且可在第二處理室中將基板曝露於由水蒸汽所形成的羥基部分。在第一處理室中將基板曝露於SAM分子並在第二處理室中將基板曝露於羥基部分可分別以在約1:1至約5:1之間的時間比例而重複。在執行重複之後,基板可在第一處理室中曝露於SAM分子,第三材料可選擇性地沉積在曝露的第二材料上,且SAM可從第一材料移除。
在又一實施方案中,提供了一種處理基板的方法。方法包括將基板曝露於自組裝單層(「SAM」)分子,以實現SAM在處理室中的第一材料上的選擇性沉積,其中基板包含曝露的第一材料和曝露的第二材料。基板可轉移到周遭空氣環境,並曝露於由在周遭空氣環境中的周遭空氣所形成的羥基部分。在處理室中將基板曝露於SAM分子並於周遭空氣環境中將基板曝露於羥基部分可分別以在約1:1至約100:1之間的時間比例而重複。在執行重複之後,基板可於處理室中曝露於SAM分子,第三材料可選擇性地沉積在曝露的第二材料上,並且SAM可從第一材料移除。
以下的揭露書描述了用於製造半導體裝置的方法,其中使用自組裝單層來實現選擇性沉積。在以下的描述以及第1圖和第2A-2B圖中提出了某些細節,以提供對本揭露書的各種實施方案的透徹理解。描述通常與半導體裝置和自組裝單層相關聯的結構和系統的其它細節未在以下的揭露書中提出,以避免不必要地混淆各種實施方案的描述。
圖中所示的許多細節、尺寸、角度和其它特徵僅僅是特定實施方案的說明。因此,其他實施方案可具有其他細節、部件和特徵,而不背離本揭露書的精神或範圍。另外,本揭露書的進一步的實施方案可在沒有以下描述的幾個細節的情況下實施。
如於此所使用的,「自組裝單層」(「SAM」)通常是指附接(如,藉由化學鍵結)到表面並且已經相對於那個表面且甚至相對於彼此而採取優選取向的分子層。 SAM通常包括雙親性分子的組織層,其中分子的一端,「頭部基」顯示對基板的特定的、可逆的親和性。頭部基的選擇將取決於SAM的應用,具有基於所使用的基板的SAM化合物的類型。通常地,頭部基連接到烷基鏈或氟化烷基鏈,其中尾部或「末端」可被功能化,(例如)以改變潤濕性質和界面性質。形成SAM的分子將選擇性地附接到另一材料上的一種材料(如,金屬對介電質),且若具有足夠的密度,則可成功地操作隨後的沉積,從而允許在未塗佈有SAM的材料上進行選擇性沉積。
現有技術通常使用至少6小時的SAM沉積,以形成足夠緻密以操作金屬沉積的SAM膜。現有技術通常利用至少48小時的SAM膜沉積,以操作金屬氧化物。當前的氣相沉積系統僅使用加熱的SAM分子溶液的蒸汽壓力,在非常低的壓力(如,2mTorr)下輸送SAM分子,以將化學物質曝露於基板。這種低蒸氣壓力導致氣相中的低濃度,並且對於緻密形成需要48小時。因此,形成沒有針孔的緻密高質量SAM膜花費大量時間。若SAM的形成時間太短,則SAM含有許多針孔,沉積可能經由針孔而發生。
在於此所述的一些實施方案中,提供了用於增加具有減少的針孔形成的緻密SAM膜的形成速率的方法。在於此所述的一些實施方案中,還提供了將形成的SAM膜處理成操作形成的針孔的方法。兩者允許形成具有減少的針孔的SAM膜。
第1圖是描繪根據於此所述的實施方案的使用SAM膜的選擇性沉積的方法100的流程圖。在製造製程期間在工件上執行方法100。方法100可用以形成如第2A-2D圖中所示的製造階段的序列中所示的結構,其在下面更詳細地討論。第2A-2D圖描繪了在基板上所形成的裝置結構的製造步驟的剖面示意圖。儘管參考具體結構而描述了第1圖,但是應當理解對具體結構的參考僅僅是說明性的,且第1圖中所描述的製程可應用於期望在含有多種材料的基板上選擇性地沉積膜的任何製程,其中期望有減少的針孔形成。
在操作110處,具有至少曝露的第一材料和曝露的第二材料的基板為了用於SAM沉積而製備。基板可類似於第2A-2D圖中所示的基板210。在一個實施例中,基板210可包含諸如結晶矽(如,Si <100>或Si <111>)、氧化矽、應變矽、矽鍺、摻雜或未摻雜的多晶矽、摻雜或未摻雜的矽晶圓、圖案化或非圖案化的晶圓、絕緣體上矽(SOI)、碳摻雜氧化矽、氮化矽、摻雜矽、鍺、砷化鎵、玻璃、藍寶石及其組合的材料。基板210可具有用於圓形基板的各種尺寸,諸如200mm,300mm,450mm或其它直徑。基板210還可為任何的多邊形,正方形,矩形,曲形或其它非圓形工件,諸如在製造平板顯示器中所使用的多邊形玻璃基板。除非另有說明,於此所述的實施方案和例子在具有200mm直徑,300mm直徑或450mm直徑基板的基板上進行。
基板210可包括由第一材料216(如,介電材料)所形成的特徵212。特徵212可包括(例如)溝槽、通孔、孔、開口、線、類似者及其組合。特徵212具有開口214,開口214被設置在基板210上的第二材料218(如,導電材料)所填充,如第2A圖中所示。應理解第一材料216和第二材料218皆可為介電材料。例如,第一材料216可為氧化矽層,且第二材料218可為氮化矽層。
在準備沉積SAM膜時,可在後續操作的SAM膜形成製程之前,將基板210曝露於任選的預清潔製程。預清潔製程可為能夠從曝露的表面移除自然氧化物,污染物或兩者的任何預清潔製程。預清潔製程可為乾式化學清潔製程,濕式化學清潔製程或兩者。預清潔製程可為適於執行乾式蝕刻製程的遠端電漿清潔或原位電漿清潔。一種示例性的乾式清潔製程是可從加州聖克拉拉市的應用材料公司所取得的SICONITM 預清潔製程,SICONITM 預清潔製程通過使用NF3 和NH3 的低溫、兩部分乾式化學清潔製程而移除自然氧化物。可預期來自其他製造商的其他適當配置的清潔製程也可根據於此所述的實施方案而有利地實現。
在操作120處,基板210曝露於SAM前驅物,以實現SAM前驅物選擇性吸附在第一材料216的表面222上,其中在第二材料218的表面224上的吸附最少或沒有吸附,如第2B圖中所示。取決於所使用的材料和所使用的SAM前驅物,SAM前驅物可為基於溶液的前驅物或氣態前驅物。SAM前驅物可包含一或多個SAM分子230,形成SAM分子230的前驅物或兩者。吸附的SAM分子230形成SAM 240。
SAM 240包含SAM分子230的組織層,組織層可為雙親性的,其中分子的一端,頭部基232對特徵212的第一材料216顯示特定的,可逆的親和性。頭部基232通常連接到烷基鏈,其中末端「R」234可被功能化。 SAM 240藉由將頭部基232化學吸附到特徵212的第一材料216上,接著疏水性的尾部基的二維組織而形成。
根據於此所述的實施方案而使用的合適的SAM分子230的例子包括下文所述的材料,包括其組合、混合物和移植物,除了在半導體製造製程中具有適於阻擋隨後沉積的材料的特徵的其他SAM分子。在一個實施方案中,SAM分子230可為羧酸材料,諸如丁基羧酸、己基羧酸、辛基羧酸、十二基羧酸和十八基羧酸。在一個實施方案中,SAM分子230可為膦酸材料,諸如丁基膦酸、己基膦酸、辛基膦酸、十二基膦酸和十八基膦酸。在另一個實施方案中,SAM分子230可為硫醇材料,諸如十二硫醇和十八硫醇。在另一個實施方案中,SAM分子230可為矽烷胺材料,諸如三(二甲胺基)辛基矽烷和三(二甲胺基)十八基矽烷。在另一個實施方案中,SAM分子230可為氯矽烷材料,諸如十二基三氯矽烷和十八基三氯矽烷。在另一個實施例中,SAM分子230可為氧化矽烷材料,諸如十八基三乙氧基矽烷和十八基三甲基矽烷。在另一個實施方案中,SAM分子230可具有氟化R基,諸如(1,1,2,2-全氟癸基)三氯矽烷、三氯(1,1,2,2-全氟辛基)矽烷、(十三氟-1,1,2,2-四氫辛基)三氯矽烷、(十三氟-1,1,2,2-四氫辛基)三乙氧基矽烷、(十三氟-1,1,2,2-四氫辛基)甲基二氯矽烷、(十三氟-1,1, 2,2-四氫辛基)二甲基氯矽烷和(十七氟-1,1,2,2-四氫癸基)三氯矽烷等。
藉由將基板210浸入含有SAM分子230的稀釋溶液中,可從溶液發生SAM吸附。在一個實施方案中,經由從溶液旋塗而沉積SAM 240。SAM吸附也可藉由將基板210曝露於氣態前驅物而從氣相沉積發生。吸附的分子最初形成無序的分子質量,且接著開始在特徵212的第一材料216上形成結晶或半結晶結構。SAM 240的厚度可藉由調節SAM分子230的烷基鏈的碳鏈長度而調節。通常,SAM 240可形成在與SAM分子230具有化學反應能力的表面上。
在一個實施方案中,SAM吸附可為氣相沉積製程。在這個實施方案中,SAM分子可在以在約25℃至約300℃之間,諸如約125℃至約200℃之間而維持的溫度下的安瓿中蒸發。基板210可以在約25℃和約400℃之間,諸如在約50℃和約200℃之間,(例如)在約100℃和約175℃之間的溫度下而維持。基板處理環境的壓力(諸如處理室的處理容積)可以在約1mT和約1520T之間,諸如在約5T和約600T之間的壓力而維持。可採用載氣以促進氣相SAM分子和載氣的輸送,取決於處理室的容積,載氣可以約25sccm至約3000sccm之間,諸如約50sccm至約1000sccm的流率而輸送。合適的載氣包括在SAM吸附條件下通常為惰性的氣體(諸如稀有氣體或類似者),載氣有助於將SAM分子輸送到基板表面。在操作130中,SAM分子可曝露於基板210持續在約1秒至約48小時之間的時間量,(例如)在約1分鐘至約120分鐘之間。
在第2B圖中所示的實施方案中,用以形成SAM 240的SAM前驅物經選擇以與特徵212的表面222(如,氧化矽材料)化學反應,而不是與第二材料218的表面224(如,導電材料)化學反應。藉由這樣做,SAM 240可主要形成在基板210上的特徵212上,使得第二材料218的表面224沒有SAM 240。
在操作130處,基板210可曝露於羥基部分。羥基部分可為具有或有助於在表面222或SAM分子230上形成-OH功能基的材料。儘管不意欲受理論而束縛,但據信表面222及/或SAM分子230的反應性位點的羥化作用可促進形成密集堆積的SAM 240,密集堆積的SAM 240表現出減少或消除的針孔。據信羥基作用可降低阻擋配位基的反應性位點的發生率,阻擋配位基的反應性位點會引起空間障礙。結果,SAM分子230可能夠更容易地以緊密堆積的取向而吸附在表面222上。例如,據信羥基作用可促進在表面222上的SAM分子優先地吸附於已經存在於表面222上的SAM配位基的聚合部分。
在替代實施方案中,在操作120處,基板210可曝露於羥基部分,且隨後在操作130處曝露於SAM前驅物。在另一個類似的實施方案中,在操作120中,基板210可曝露於羥基部分,同時在操作130中將基板210曝露於SAM前驅物。
在其它實施方案中,SAM前驅物和羥基部分以交替方式而產生脈衝。此外,SAM前驅物和羥基部分可以脈衝或連續方式一起共同流動。在一個實施方案中,SAM前驅物和羥基部分在交替的氣相曝露操作中浸泡基板210。例如,將SAM前驅物輸送到腔室,且在SAM前驅物從腔室排出之前將腔室加壓一段時間。隨後,將羥基部分輸送至腔室,且在將羥基部分從腔室排出之前將腔室加壓一段時間。在這些實施方案中,基板210以交替方式而浸泡在SAM/羥基中。在另一個實施方案中,將SAM前驅物和羥基部分同時輸送到腔室,且將腔室加壓,以允許基板210在從腔室排空SAM/羥基之前在SAM/羥基環境中浸泡一段時間。在又一個實施方案中,基板210曝露於SAM前驅物並隨後曝露於周遭空氣。
取決於所欲的實施方案,以上所述的脈衝和浸泡實施方案可執行各種持續時間。可預期脈衝和/或浸泡的持續時間可取決於方法的進展而改變。例如,在方法100開始時的脈衝/浸泡持續時間可相對於在方法100結束附近的脈衝/浸泡持續時間更長。據信SAM前驅物/羥基部分曝露持續時間和任何浸泡/脈衝持續時間的任何組合可一起利用,以獲得具有減少或消除的針孔的SAM沉積。
合適的羥基部分前驅物的例子包括周遭空氣、水溶液或蒸氣、過氧化氫溶液或蒸氣、有機醇溶液或蒸氣(諸如甲醇、異丙醇、乙醇和二醇)等。氫氣和氧氣也可組合使用以形成羥基部分。預期根據於此所述的實施方案也可利用其它非羥基部分前驅物。非羥基部分前驅物可包括氮氣、(二)異氰酸酯、硫化氫和氨等。
在操作120中,在將基板210曝露於SAM分子之後,可連續地在操作130中執行將基板210曝露於羥基部分。替代地,在操作130中將基板210曝露於羥基部分可與在操作120中將基板210曝露於SAM分子同時地執行。
在一個實施方案中,在操作120中將基板210曝露於SAM分子之後,在操作130中基板210可曝露於周遭空氣。在這個實施方案中,在操作130期間,基板210的溫度可在具有約1mT和約1520T之間的壓力的處理環境中,以在約25℃和約400℃之間的溫度下而維持。基板210可曝露於周遭空氣在持續約30秒和約600秒之間的時間量。在這個實施方案中,周遭空氣曝露可在加壓到大氣壓的真空室中進行,或者基板210可從真空處理室環境移除並維持在大約大氣壓力的周遭空氣中。
在另一實施方案中,在操作120中將基板210曝露於SAM分子之後,在操作130中基板210可曝露於液態水或水蒸汽。在這個實施方案中,基板210可曝露於液態水或水蒸氣,持續約1秒至約600秒之間的時間量。在液態水曝露的實施方案中,可向處理環境提供合適量的水,諸如在約25ml至約50ml(對於300mm基板而言)以促進液態水曝露。在足以發生羥基作用的時間之後,可從處理環境移除水。
在水蒸氣的實施方案中,基板210的溫度可維持在約20℃和約400℃之間,且處理環境的壓力可維持在約2T和約1520T之間。
操作130的曝露於羥基部分可在與操作120的將基板210曝露於操作120的SAM分子相同的處理環境中進行。替代地,曝露於羥基部分可在與用以將基板210曝露於SAM分子的處理環境不同的處理環境中進行。例如,可利用群集工具,且操作120可在第一處理室中執行,且操作130可在第二處理室中執行。在某些實施方案中,取決於所欲的處理條件(亦即,水蒸汽或周遭空氣曝露),基板210可在真空下在第一和第二處理室之間轉移,或在大約大氣壓力下在第一和第二處理室之間轉移。
在操作140處,可任選地以順序或同時的方式而重複操作120和操作130。例如,操作120和操作130可在約1次和約500次之間重複。在一個實施方案中,可第一次執行操作120,可第一次執行操作130,且可第二次執行操作120。在這個實施方案中,可順序地執行操作。在另一實施方案中,操作120和操作130可在約5次和約50次之間重複。在這個實施方案中,操作140之後可為額外操作120,使得在操作150之前立即執行將基板210曝露於SAM分子230。
在以順序方式重複操作120和操作130的某些實施方案中,操作120與操作130的時間比例可在約1:1的操作120:操作130和約100:1的操作120:操作130之間,例如,約10:1的操作120:操作130。在這個實施方案中,操作120可執行在約1分鐘和約10分鐘之間的時間量,且操作130可執行在約1分鐘和約10分鐘之間的時間量。操作140之後可為額外操作120,額外操作120執行的時間量實質等於在操作140的每一循環曝光製程期間所執行的操作120的時間量,然而,可預期可有利地實現對於額外操作120的更大或更小的時間量。例如,在以上所述的實施方案中,額外操作120可執行在約1分鐘和約10分鐘之間的時間量,諸如約5分鐘。
據信環狀SAM分子和羥基部分曝露可提供用於具有改良的材料阻擋性質(亦即,減少的針孔)的SAM。例如,使用環狀羥基部分曝露所處理的SAM相對於未使用環狀羥基部分曝露(水接觸角約100°)所處理的SAM顯露出增加的水接觸角(亦即110°),這指示了改進的阻擋效能。
在操作150處,接著執行沉積製程(沉積製程是對具有選定前驅物的表面條件高度敏感的製程),以在第二材料218的表面224上選擇性地形成結構260,如第2C圖中所示。結構280可藉由各種技術而形成,包括(例如)化學氣相沉積(CVD)(諸如電漿強化CVD(PE-CVD),脈衝CVD,低壓CVD(LPCVD)),磊晶生長,物理氣相沉積(PVD)(諸如濺射或蒸發),原子層沉積(ALD),電鍍,其它技術或其組合。選擇要沉積的材料可受到基板210的表面性質的影響。結構280的厚度將取決於將形成的材料和特定裝置而變化。SAM 240防止材料沉積在第一材料216的表面222上。以這種方式,選擇性沉積製程可在基板上的不同位置處選擇性地沉積不同的材料。
在一個實施方案中,沉積製程是ALD製程。由於ALD製程對表面條件敏感,ALD適合於在基板的特定區域上選擇性沉積材料。ALD製程是具有自終止/限制生長的CVD製程。 ALD製程產生僅幾埃或在單層水平中的厚度。藉由將化學反應分佈到兩個單獨的半反應中來控制ALD方法,半反應循環地重複。藉由ALD製程所形成的材料的厚度取決於反應循環的數量。第一反應提供將在基板上吸收的分子層的第一原子層,且第二反應提供將在第一原子層上吸收的分子層的第二原子層。因此,材料的有序結構充當用於材料層的生長的模板。
在操作150的沉積製程之後,在操作160處,從第一材料212的表面222移除SAM 240。可藉由不會不利地影響結構280或第一材料216的表面222的任何製程來移除SAM 240。用於移除SAM 240的製程是SAM分子230的末端和頭部基的選擇的結果。可藉由濕式蝕刻製程、乾式蝕刻製程、高溫退火製程(如,大於300℃)而移除SAM,以從第一材料216的表面222釋放SAM。在操作160之後,可執行額外的處理操作,以製造半導體及其它裝置特徵。
雖然前述內容涉及本揭露書的實施方案,但是本揭露書的其他和進一步的實施方案可經設計而不背離本揭露書的基本範圍,且本揭露書的範圍由以下的申請專利範圍而決定。
100‧‧‧方法
110‧‧‧操作
120‧‧‧操作
130‧‧‧操作
140‧‧‧操作
150‧‧‧操作
160‧‧‧操作
200
210‧‧‧基板
212‧‧‧特徵/第一材料
214‧‧‧開口
216‧‧‧第一材料
218‧‧‧第二材料
222‧‧‧表面
224‧‧‧表面
230‧‧‧SAM分子
232‧‧‧頭部基
234‧‧‧末端
240‧‧‧SAM
260‧‧‧結構
280‧‧‧結構
使得可詳細地理解本揭露書的以上所述的特徵的方式,可藉由參考實施方案而以上所簡要概述的本揭露書的更具體的描述而獲得,其中一些實施方案顯示在附隨的圖式中。然而,應注意附隨的圖式僅顯示示例性的實施方案,且因此不被認為是對本揭露書的範圍的限制,可允許其他等效的實施方案。
第1圖顯示了描繪根據於此所述的實施方案的利用SAM材料的選擇性沉積的方法的流程圖。
第2A-2D圖顯示了根據於此所述的實施方案而處理的工件的示意性剖面圖。
為促進理解,在可能的情況下使用相同的元件符號以指示共用於圖式的相同元件。可想到一個實施方案的元件和特徵可有利地併入其他實施方案中,而無需進一步詳述。
國內寄存資訊 (請依寄存機構、日期、號碼順序註記) 無
國外寄存資訊 (請依寄存國家、機構、日期、號碼順序註記) 無
(請換頁單獨記載) 無
100‧‧‧方法
110‧‧‧操作
120‧‧‧操作
130‧‧‧操作
140‧‧‧操作
150‧‧‧操作
160‧‧‧操作

Claims (20)

  1. 一種處理一基板的方法,包含以下步驟: 將一基板曝露於一自組裝單層(「SAM」)分子,以實現一SAM在一第一材料上的選擇性沉積,其中該基板包含一曝露的第一材料和一曝露的第二材料;將該基板曝露於一羥基部分;將該基板曝露於一SAM分子和將該基板曝露於一羥基部分可分別以1:1至100:1之間的一時間比例而重複;在執行該重複之後,將該基板曝露於該SAM分子;選擇性地沉積一第三材料在該曝露的第二材料上;及從該第一材料移除該SAM。
  2. 如請求項1所述之方法,其中一羥基部分前驅物選自由周遭空氣、水溶液、水蒸汽、過氧化氫溶液、過氧化氫蒸氣、有機醇溶液和有機醇蒸氣所組成的群組。
  3. 如請求項2所述之方法,其中該羥基部分前驅物選自由水溶液、水蒸氣和周遭空氣所組成的群組。
  4. 如請求項1所述之方法,其中一羥基部分前驅物是水蒸氣。
  5. 如請求項1所述之方法,其中一羥基部分前驅物是周遭空氣。
  6. 如請求項1所述之方法,其中將該基板曝露於該SAM分子和將該基板曝露於該羥基部分的該時間比例為10:1。
  7. 如請求項1所述之方法,其中在該重複之後所執行的將該基板曝露於該SAM分子的一時間量實質地等於在該重複的一個循環期間所執行的將該基板曝露於一SAM分子的時間量。
  8. 如請求項1所述之方法,其中該SAM分子選自由羧酸材料、膦酸材料、硫醇材料、矽烷胺材料、氯矽烷材料、氧矽烷材料及其組合所組成的群組。
  9. 如請求項8所述之方法,其中該膦酸材料選自由丁基膦酸、己基膦酸、辛基膦酸、十二基膦酸、十八基膦酸及其組合所組成的群組。
  10. 如請求項8所述之方法,其中該硫醇材料選自由十二硫醇,十八硫醇及其組合所組成的群組。
  11. 如請求項8所述之方法,其中該矽烷胺材料選自由三(二甲胺基)辛基矽烷和三(二甲胺基)十八基矽烷及其組合所組成的群組。
  12. 如請求項8所述之方法,其中該氯矽烷材料選自由十二基三氯矽烷,十八基三氯矽烷及其組合所組成的群組。
  13. 如請求項8所述之方法,其中該氧化矽烷材料選自由十八基三乙氧基矽烷,十八基三甲基矽烷及其組合所組成的群組。
  14. 一種處理一基板的方法,包含以下步驟: 將一基板曝露於一自組裝單層(「SAM」)分子,以實現一SAM在一第一處理室中的一第一材料上的選擇性沉積,其中該基板包含一曝露的第一材料和一曝露的第二材料;將該基板轉移到一第二處理室;在該第二處理室中將該基板曝露於由水蒸汽所形成的一羥基部分;分別以在1:1至100:1之間的一時間比例而重複在該第一處理室中將該基板曝露於一SAM分子及在該第二處理室中將該基板曝露於一羥基部分;在執行該重複之後,在該第一處理室中將該基板曝露於該SAM分子;選擇性地沉積一第三材料在該曝露的第二材料上;及從該第一材料移除該SAM。
  15. 如請求項14所述之方法,其中該轉移該基板的步驟在真空下執行。
  16. 如請求項14所述之方法,其中將該基板曝露於由水蒸汽所形成的一羥基部分以在140℃和250℃之間的溫度、在1T和600T之間的壓力下執行在1秒和600秒之間的一時間量。
  17. 如請求項14所述之方法,其中將該基板曝露於一SAM分子和將該基板曝露於由水蒸氣所形成的一羥基部分的時間比例為在1:1至10:1之間。
  18. 一種處理一基板的方法,包含以下步驟: 將一基板曝露於一自組裝單層(「SAM」)分子,以實現一SAM在一處理室中的一第一材料上的選擇性沉積,其中該基板包含一曝露的第一材料和一曝露的第二材料;將該基板轉移到一周遭空氣環境;將該基板曝露於由在該周遭空氣環境中的周遭空氣所形成的一羥基部分;分別以在1:1至100:1之間的一時間比例而重複在該處理室中將基板曝露於一SAM分子及於該周遭空氣環境中將該基板曝露於一羥基部分;在執行該重複之後,在該處理室中將該基板曝露於該SAM分子;選擇性地沉積一第三材料在該曝露的第二材料上;及從該第一材料移除該SAM。
  19. 如請求項18所述之方法,其中將該基板曝露於由周遭空氣所形成的一羥基部分以在25℃和400℃之間的溫度、1mT和1520T之間的壓力下執行在30秒和600秒之間的一時間量。
  20. 如請求項18所述之方法,其中將該基板曝露於一SAM分子和將該基板曝露於由周遭空氣所形成的一羥基部分的時間比例為在1:1至10:1之間。
TW106106941A 2016-03-03 2017-03-03 利用間歇性空氣-水曝露之改良自組裝單層阻隔 TWI672389B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201662303069P 2016-03-03 2016-03-03
US62/303,069 2016-03-03

Publications (2)

Publication Number Publication Date
TW201802281A true TW201802281A (zh) 2018-01-16
TWI672389B TWI672389B (zh) 2019-09-21

Family

ID=59724305

Family Applications (2)

Application Number Title Priority Date Filing Date
TW106106941A TWI672389B (zh) 2016-03-03 2017-03-03 利用間歇性空氣-水曝露之改良自組裝單層阻隔
TW108129405A TWI719594B (zh) 2016-03-03 2017-03-03 利用間歇性空氣-水曝露之改良自組裝單層阻隔

Family Applications After (1)

Application Number Title Priority Date Filing Date
TW108129405A TWI719594B (zh) 2016-03-03 2017-03-03 利用間歇性空氣-水曝露之改良自組裝單層阻隔

Country Status (7)

Country Link
US (2) US10192752B2 (zh)
EP (2) EP4358119A2 (zh)
JP (2) JP6692443B2 (zh)
KR (1) KR102149907B1 (zh)
CN (2) CN117334560A (zh)
TW (2) TWI672389B (zh)
WO (1) WO2017151639A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI720540B (zh) * 2018-07-17 2021-03-01 日商國際電氣股份有限公司 半導體裝置之製造方法、基板處理裝置及記錄媒體

Families Citing this family (58)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10428421B2 (en) 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
CN117334560A (zh) 2016-03-03 2024-01-02 应用材料公司 利用间歇性空气-水暴露的改良自组装单层阻挡
TWI821984B (zh) 2016-07-27 2023-11-11 美商應用材料股份有限公司 具有合金吸收劑的極紫外線遮罩坯料及製造極紫外線遮罩坯料的方法
TWI774375B (zh) 2016-07-27 2022-08-11 美商應用材料股份有限公司 具多層吸收劑的極紫外遮罩坯料及製造方法
TWI739984B (zh) 2017-01-31 2021-09-21 美商應用材料股份有限公司 就圖案化應用進行選擇性沉積之方案
TW202401527A (zh) * 2017-06-14 2024-01-01 美商應用材料股份有限公司 用於達成無缺陷自組裝單層的晶圓處理
TWI804369B (zh) * 2017-07-14 2023-06-01 荷蘭商Asm Ip控股公司 用於將自組裝單層沈積於基板之表面上的設備
US10900120B2 (en) 2017-07-14 2021-01-26 Asm Ip Holding B.V. Passivation against vapor deposition
US10515896B2 (en) * 2017-08-31 2019-12-24 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnect structure for semiconductor device and methods of fabrication thereof
WO2019055508A1 (en) * 2017-09-12 2019-03-21 Applied Materials, Inc. SELECTIVE REMOVAL OF CHEMICAL ENGRAVING DEPOSITION DEFECTS
TWI757565B (zh) * 2017-12-22 2022-03-11 美商應用材料股份有限公司 在導電表面上沉積阻擋層的方法
KR102515131B1 (ko) * 2018-04-13 2023-03-29 어플라이드 머티어리얼스, 인코포레이티드 선택적 원자 층 증착 방법들
WO2019204121A1 (en) * 2018-04-19 2019-10-24 Applied Materials, Inc. Methods of treating a substrate to form a layer thereon for application in selective deposition processes
US10566194B2 (en) * 2018-05-07 2020-02-18 Lam Research Corporation Selective deposition of etch-stop layer for enhanced patterning
US10847424B2 (en) * 2018-06-22 2020-11-24 Tokyo Electron Limited Method for forming a nanowire device
US10643846B2 (en) 2018-06-28 2020-05-05 Lam Research Corporation Selective growth of metal-containing hardmask thin films
CN112567498A (zh) * 2018-08-10 2021-03-26 应用材料公司 使用自组装单层的选择性沉积的方法
WO2020046746A1 (en) * 2018-08-27 2020-03-05 Versum Materials Us, Llc Selective deposition on silicon containing surfaces
TW202026770A (zh) 2018-10-26 2020-07-16 美商應用材料股份有限公司 用於極紫外線掩模吸收劑的ta-cu合金材料
KR20210087445A (ko) * 2018-11-02 2021-07-12 도쿄엘렉트론가부시키가이샤 막 형성 방법 및 막 형성 장치
WO2020101861A1 (en) * 2018-11-16 2020-05-22 Applied Materials, Inc. Method for forming a layer
US10957590B2 (en) 2018-11-16 2021-03-23 Applied Materials, Inc. Method for forming a layer
TW202028495A (zh) 2018-12-21 2020-08-01 美商應用材料股份有限公司 極紫外線遮罩吸收器及用於製造的方法
TW202035792A (zh) 2019-01-31 2020-10-01 美商應用材料股份有限公司 極紫外光遮罩吸收體材料
TWI828843B (zh) 2019-01-31 2024-01-11 美商應用材料股份有限公司 極紫外線(euv)遮罩素材及其製造方法
US11249390B2 (en) 2019-01-31 2022-02-15 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
TW202043905A (zh) 2019-03-01 2020-12-01 美商應用材料股份有限公司 物理氣相沉積系統與處理
TW202037742A (zh) 2019-03-01 2020-10-16 美商應用材料股份有限公司 物理氣相沉積系統與處理
TWI818151B (zh) 2019-03-01 2023-10-11 美商應用材料股份有限公司 物理氣相沉積腔室及其操作方法
JP6860605B2 (ja) * 2019-03-18 2021-04-14 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
US20200328078A1 (en) * 2019-04-12 2020-10-15 Tokyo Electron Limited Integrated in-situ dry surface preparation and area selective film deposition
US11275303B2 (en) 2019-05-22 2022-03-15 Applied Materials Inc. Extreme ultraviolet mask absorber matertals
TW202104667A (zh) 2019-05-22 2021-02-01 美商應用材料股份有限公司 極紫外光遮罩吸收材料
TW202111420A (zh) 2019-05-22 2021-03-16 美商應用材料股份有限公司 極紫外光遮罩吸收材料
TW202104666A (zh) 2019-05-22 2021-02-01 美商應用材料股份有限公司 極紫外光遮罩吸收劑材料
US11366379B2 (en) 2019-05-22 2022-06-21 Applied Materials Inc. Extreme ultraviolet mask with embedded absorber layer
US10777411B1 (en) 2019-05-31 2020-09-15 International Business Machines Corporation Semiconductor device with selective dielectric deposition
JP7330000B2 (ja) * 2019-07-16 2023-08-21 東京応化工業株式会社 表面処理剤、表面処理方法及び基板表面の領域選択的製膜方法
US11385536B2 (en) 2019-08-08 2022-07-12 Applied Materials, Inc. EUV mask blanks and methods of manufacture
US20210087691A1 (en) * 2019-09-24 2021-03-25 Tokyo Electron Limited Film forming method
US11630385B2 (en) 2020-01-24 2023-04-18 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
TWI817073B (zh) 2020-01-27 2023-10-01 美商應用材料股份有限公司 極紫外光遮罩坯體硬遮罩材料
TW202131087A (zh) 2020-01-27 2021-08-16 美商應用材料股份有限公司 極紫外光遮罩吸收劑材料
TW202129401A (zh) 2020-01-27 2021-08-01 美商應用材料股份有限公司 極紫外線遮罩坯體硬遮罩材料
TW202141165A (zh) 2020-03-27 2021-11-01 美商應用材料股份有限公司 極紫外光遮罩吸收材料
US11644741B2 (en) 2020-04-17 2023-05-09 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
US11300871B2 (en) 2020-04-29 2022-04-12 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
KR20230026385A (ko) 2020-06-17 2023-02-24 도쿄엘렉트론가부시키가이샤 영역 선택적 증착에서 측방 막 형성을 감소시키는 방법
JP2022050198A (ja) * 2020-09-17 2022-03-30 東京エレクトロン株式会社 成膜方法及び成膜装置
US11609490B2 (en) 2020-10-06 2023-03-21 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
US20220139703A1 (en) * 2020-10-30 2022-05-05 The Board Of Trustees Of The Leland Stanford Junior University New precursors for selective atomic layer deposition of metal oxides with small molecule inhibitors
EP4240886A1 (en) * 2020-12-01 2023-09-13 Versum Materials US, LLC Selective plasma enhanced atomic layer deposition
US11513437B2 (en) 2021-01-11 2022-11-29 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
US11592738B2 (en) 2021-01-28 2023-02-28 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
US20230002890A1 (en) * 2021-07-02 2023-01-05 Applied Materials, Inc. Multiple surface and fluorinated blocking compounds
JP7374961B2 (ja) * 2021-07-27 2023-11-07 株式会社Kokusai Electric 半導体装置の製造方法、基板処理方法、基板処理装置、およびプログラム
US11815803B2 (en) 2021-08-30 2023-11-14 Applied Materials, Inc. Multilayer extreme ultraviolet reflector materials
US11782337B2 (en) 2021-09-09 2023-10-10 Applied Materials, Inc. Multilayer extreme ultraviolet reflectors

Family Cites Families (41)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6200893B1 (en) 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
US6391785B1 (en) 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
WO2001049823A2 (en) 2000-01-06 2001-07-12 Biosite Diagnostics, Inc. Assays for detection of bacillus anthracis
US6649408B2 (en) 2000-03-24 2003-11-18 George Mason University Microdroplet cell culture technique
US6443435B1 (en) 2000-10-23 2002-09-03 Applied Materials, Inc. Vaporization of precursors at point of use
JP2004296668A (ja) * 2003-03-26 2004-10-21 Seiko Epson Corp パターンの形成方法及びデバイスの製造方法、導電膜配線、電気光学装置、並びに電子機器
US7914847B2 (en) 2003-05-09 2011-03-29 Asm America, Inc. Reactor surface passivation through chemical deactivation
WO2004102648A2 (en) 2003-05-09 2004-11-25 Asm America, Inc. Reactor surface passivation through chemical deactivation
WO2004113585A2 (en) * 2003-06-18 2004-12-29 Applied Materials, Inc. Atomic layer deposition of barrier materials
US20050271893A1 (en) 2004-06-04 2005-12-08 Applied Microstructures, Inc. Controlled vapor deposition of multilayered coatings adhered by an oxide layer
US7071022B2 (en) 2003-07-18 2006-07-04 Corning Incorporated Silicon crystallization using self-assembled monolayers
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US7390739B2 (en) * 2005-05-18 2008-06-24 Lazovsky David E Formation of a masking layer on a dielectric region to facilitate formation of a capping layer on electrically conductive regions separated by the dielectric region
US7582534B2 (en) 2004-11-18 2009-09-01 International Business Machines Corporation Chemical doping of nano-components
WO2006058034A2 (en) 2004-11-22 2006-06-01 Intermolecular, Inc. Molecular self-assembly in substrate processing
US7368377B2 (en) 2004-12-09 2008-05-06 Interuniversitair Microelektronica Centrum (Imec) Vzw Method for selective deposition of a thin self-assembled monolayer
EP1877245A4 (en) * 2005-04-12 2012-08-15 Massachusetts Inst Technology NANO CONTACT PRESSURE
US7084060B1 (en) 2005-05-04 2006-08-01 International Business Machines Corporation Forming capping layer over metal wire structure using selective atomic layer deposition
US8158832B2 (en) * 2005-11-09 2012-04-17 The Trustees Of Columbia University In The City Of New York Photochemical methods and photoactive compounds for modifying surfaces
KR101169058B1 (ko) 2006-03-10 2012-07-26 엘지디스플레이 주식회사 박막 트랜지스터 및 그 제조방법
US7775508B2 (en) 2006-10-31 2010-08-17 Applied Materials, Inc. Ampoule for liquid draw and vapor draw with a continuous level sensor
US7790631B2 (en) * 2006-11-21 2010-09-07 Intel Corporation Selective deposition of a dielectric on a self-assembled monolayer-adsorbed metal
KR101138869B1 (ko) 2006-12-22 2012-05-14 삼성전자주식회사 유기발광 디스플레이의 단위 화소부 구동소자의 제조방법
JP4909745B2 (ja) 2007-01-17 2012-04-04 シャープ株式会社 有機薄膜の形成方法および有機薄膜形成装置
EP2174344A4 (en) 2007-07-13 2012-06-20 Intermolecular Inc SURFACE MODIFICATION OF LOW-K DIELECTRIC MATERIALS
US20090057266A1 (en) 2007-08-27 2009-03-05 Eda Tuncel Line edge roughness control
KR101078309B1 (ko) * 2009-03-25 2011-10-31 포항공과대학교 산학협력단 선택적 증착법을 이용한 반도체 소자의 콘택트 형성방법
KR101067345B1 (ko) 2009-08-06 2011-09-23 한국과학기술원 패턴형성방법 및 패턴형성장치
JP5318217B2 (ja) 2009-09-28 2013-10-16 株式会社東芝 パターン形成方法
US8691675B2 (en) * 2009-11-25 2014-04-08 International Business Machines Corporation Vapor phase deposition processes for doping silicon
US8293658B2 (en) 2010-02-17 2012-10-23 Asm America, Inc. Reactive site deactivation against vapor deposition
US9112003B2 (en) 2011-12-09 2015-08-18 Asm International N.V. Selective formation of metallic films on metallic surfaces
US8747686B2 (en) 2012-01-27 2014-06-10 Applied Materials, Inc. Methods of end point detection for substrate fabrication processes
JP2013154315A (ja) * 2012-01-31 2013-08-15 Ricoh Co Ltd 薄膜形成装置、薄膜形成方法、電気−機械変換素子、液体吐出ヘッド、およびインクジェット記録装置
JP2014236148A (ja) 2013-06-04 2014-12-15 東京エレクトロン株式会社 有機分子膜の形成装置および形成方法
US9153457B2 (en) 2013-06-14 2015-10-06 Tokyo Electron Limited Etch process for reducing directed self assembly pattern defectivity using direct current positioning
US11329241B2 (en) * 2013-08-29 2022-05-10 The Regents Of The University Of Michigan Exciton-blocking treatments for buffer layers in organic photovoltaics
US9525082B2 (en) 2013-09-27 2016-12-20 Sunpower Corporation Solar cell contact structures formed from metal paste
US10049921B2 (en) 2014-08-20 2018-08-14 Lam Research Corporation Method for selectively sealing ultra low-k porous dielectric layer using flowable dielectric film formed from vapor phase dielectric precursor
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
CN117334560A (zh) 2016-03-03 2024-01-02 应用材料公司 利用间歇性空气-水暴露的改良自组装单层阻挡

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI720540B (zh) * 2018-07-17 2021-03-01 日商國際電氣股份有限公司 半導體裝置之製造方法、基板處理裝置及記錄媒體

Also Published As

Publication number Publication date
US20170256402A1 (en) 2017-09-07
JP2019512877A (ja) 2019-05-16
TW202010860A (zh) 2020-03-16
KR102149907B1 (ko) 2020-08-31
US20190157101A1 (en) 2019-05-23
US10818510B2 (en) 2020-10-27
US10192752B2 (en) 2019-01-29
KR20180113632A (ko) 2018-10-16
CN109075021B (zh) 2023-09-05
TWI672389B (zh) 2019-09-21
EP4358119A2 (en) 2024-04-24
EP3424070A1 (en) 2019-01-09
JP7043539B2 (ja) 2022-03-29
JP6692443B2 (ja) 2020-05-13
TWI719594B (zh) 2021-02-21
EP3424070B1 (en) 2024-03-27
CN117334560A (zh) 2024-01-02
CN109075021A (zh) 2018-12-21
WO2017151639A1 (en) 2017-09-08
EP3424070A4 (en) 2020-03-04
JP2020145436A (ja) 2020-09-10

Similar Documents

Publication Publication Date Title
TWI672389B (zh) 利用間歇性空氣-水曝露之改良自組裝單層阻隔
JP7279024B2 (ja) 化学エッチングによる選択的堆積の欠陥除去
TWI786217B (zh) 增強選擇性沉積製程
US9478460B2 (en) Cobalt selectivity improvement in selective cobalt process sequence
TW201943880A (zh) 在基板上形成層以應用於選擇性沉積製程之基板處理方法
TWI725182B (zh) 透過自組裝單層形成而成的選擇性沉積
JP7194116B2 (ja) 酸化ケイ素の核形成/接着の向上により膜粗さを改善するための処理アプローチ
US20180308685A1 (en) Low temperature selective epitaxial silicon deposition
JP2020524402A (ja) ポリマー構造失活プロセスを用いた選択的堆積プロセス
US20220064784A1 (en) Methods of selective deposition
WO2018180869A1 (ja) めっき処理方法、めっき処理システム及び記憶媒体
KR20210076166A (ko) 금속 실리사이드들의 선택적 증착 및 선택적 산화물 제거
US20240145242A1 (en) Method of blocking dielectric surfaces using blocking molecules to enable selective epi deposition
US20180025908A1 (en) Monolayer film mediated precision film deposition
JP2023143793A (ja) 基板処理方法及びこれを用いた選択的蒸着方法