WO2020101861A1 - Method for forming a layer - Google Patents

Method for forming a layer Download PDF

Info

Publication number
WO2020101861A1
WO2020101861A1 PCT/US2019/057997 US2019057997W WO2020101861A1 WO 2020101861 A1 WO2020101861 A1 WO 2020101861A1 US 2019057997 W US2019057997 W US 2019057997W WO 2020101861 A1 WO2020101861 A1 WO 2020101861A1
Authority
WO
WIPO (PCT)
Prior art keywords
mask
layer
forming
edge portion
trench
Prior art date
Application number
PCT/US2019/057997
Other languages
French (fr)
Inventor
Wenhui Wang
Huixiong Dai
Christopher S. Ngai
Liqi Wu
Wenyu Zhang
Yongmei Chen
Hao Chen
Keith Tatseun WONG
Ke CHANG
Original Assignee
Applied Materials, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials, Inc. filed Critical Applied Materials, Inc.
Publication of WO2020101861A1 publication Critical patent/WO2020101861A1/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/32Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers using masks

Definitions

  • Implementations of the present disclosure generally relate to the fabrication of integrated circuits, and more particularly, to methods for forming a layer.
  • Photolithography is commonly used to pattern ICs on a substrate.
  • An exemplary feature of an IC is a line of a material which may be a metal, semiconductor, or insulator. Due to factors such as optics and light or radiation wavelength, however, photolithography techniques are restricted by a minimum pitch, below which a particular photolithographic technique may not reliably form features. Thus, the minimum pitch of a photolithographic technique can limit feature size reduction of ICs.
  • SADR self-aligned double patterning
  • SAQP seif-aligned quadruple patterning
  • LELE litho-etch-litho-etch
  • Implementations of the present disclosure generally relate to the fabrication of integrated circuits, and more particularly, to methods for forming a layer !n one implementation, a device includes a first material having a first surface, a second material having a second surface, a mask disposed on the first surface, and the mask has an edge portion extending over the second surface. The device further includes a layer disposed between the edge portion and the second surface, and the layer is in contact with the edge portion and the second surface.
  • a method for forming a semiconductor device includes forming a mask on a first surface of a first material by a selective deposition process, the mask having an edge portion extending over a second surface of a second material, and the edge portion is in contact with a seif-assembled monolayer The method further includes removing the self-assembled monolayer to expose the second surface of the second material and to form a gap between the edge portion of the mask and the second surface of the second material, forming a layer on the mask and the exposed second surface of the second material by an atomic layer deposition process, the gap being filled with the layer, and removing at least a portion of the layer to expose at least a portion of the second surface of the second material.
  • Figures 1D - 1 F illustrate schematic cross-sectional views of a portion of a substrate during different stages of forming a semiconductor device.
  • Figures 2A - 2F illustrate schematic cross-sectional views of a portion of a substrate during different stages of forming a semiconductor device.
  • Implementations of the present disclosure generally relate to the fabrication of integrated circuits, and more particularly, to methods for forming a layer.
  • the layer may be a mask used in lithography process to pattern and form a trench.
  • the mask is formed over a substrate having at least two distinct materials by a selective deposition process.
  • the edges of the mask are disposed on an intermediate layer formed on at least one of the two distinct materials.
  • the method includes removing the intermediate layer to form a gap between edges of the mask and the substrate and filling the gap with a different material than the mask or with the same material as the mask. By filling the gap with the same or different material as the mask, electrical paths are improved.
  • the edges of the mask define the distance between two conductive materials, such as between a source/drain contact and a gate in a transistor, leading to improved self-aligned process.
  • Figures 1 A ⁇ 1 F illustrate schematic cross-sectional views of a portion of a substrate 100 during different stages of forming a trench.
  • the substrate 100 includes a first material 102, a second material 104, and a third material 106 disposed between the first material 102 and the second material 104.
  • the first material 102 is an electrically conductive material, such as a metal.
  • the first material 102 may be cobalt, tungsten, or any suitable electrically conductive material.
  • the first material 102 may be a gate or source/drain contact in a transistor.
  • the second material 104 is a dielectric material, such as a carbide, an oxide or nitride.
  • the second material 104 may be silicon carbide, silicon oxycarbide, silicon nitride, tungsten carbide, or tungsten oxide !n some implementations, the first material 102 is a gate and the third material 106 is a work function layer, such as titanium nitride or tantalum nitride. In some implementations, the third material 106 is omitted, and the first material 102 is in contact with the second material 104.
  • the first material 102 has a surface 116
  • the second material 104 has a surface 114
  • the third material has a surface 118.
  • the surfaces 116, 114, and 118 may be co-planar, as shown in Figure 1A. Alternatively, the surfaces 116, 114, and 118 may not co-planar, and this feature can be combined with one or more implementations described herein
  • a mask 110 is selectively deposited on the surface 116 of the first material 102 by a selective deposition process.
  • the mask 110 is fabricated from a dielectric material, such as a high-k dielectric material.
  • the mask 110 may be fabricated from hafnium oxide, zirconium oxide, aluminum oxide, titanium oxide, or other suitable material.
  • the selective deposition process for selectively deposit the mask 110 on the first material 102 includes deactivating surfaces 114 and 118 of the second material 104 and the third material 106, respectively. Deactivation of the surfaces 114 and 118 may be performed by forming a seif-assembled monolayer (SAM) 108 on the surfaces 114 and 118.
  • SAM seif-assembled monolayer
  • the SAM 108 may be fabricated from a material that has strong adhesion to the second material 104 and the third material 106 and weak adhesion to the first material 102.
  • the SAM 108 may include a carbon chain and a thiol terminal group. Due to the weak adhesion to the first material 102, the SAM 108 does not form on the surface 116 of the first material 102.
  • the SAM 108 also deactivates the surfaces 114, 118 of the second material 104 and the third material 106, respectively.
  • the mask 110 may be deposited by any suitable method, such as atomic layer deposition (ALD) or chemical vapor deposition (CVD), and the mask 110 is deposited on the surface 116 of the first material 102 and not on the SAM 108 due to the chemistry of the SAM 108 and the mask 110 Flowever, the edge of the mask 110 can extend laterally over the SAM 108. Thus, the edge portion 112 of the mask 110 is disposed over the SAM 108, such as on and in contact with the SAM 108.
  • ALD atomic layer deposition
  • CVD chemical vapor deposition
  • the lateral dimension U of the edge portion 112 of the mask 110 extends over the SAM 108 and can be controlled by the thickness of the mask 110. Thicker mask 110 leads to larger Li of the edge portion 112 of the mask 110 over the SAM 108.
  • a material is deposited on the mask 110 and a portion of the surface 114 of the second material 104 by a CVD process, and the gap between the edge portion 112 and the surface 118 and/or surface 114 is not filled. This gap can lead to weak electrical paths.
  • a layer 120 is formed on the mask 110 and the surfaces 114, 118 of the second and third materials 104, 106, respectively, by an ALD process, as shown in Figure 1 B.
  • the layer 120 may be fabricated from the same material as the mask 110. Because the ALD process has very good step coverage, the gap between the edge portion 112 and the surface 118 and/or surface 114 is filled with the layer 120.
  • a majority of the layer 120 is removed by an etching process to expose a portion of the surface 114 of the second material 104. The etching process removes portions of the layer 120 on the mask 110 and on the surface 114, but the etching process does not remove the portion of the layer 120 under the mask 110.
  • a remaining portion 122 of the layer 120 between the edge portion 112 and the surface 118 and/or surface 114 is not removed by the etching process.
  • the edge portion 112 and the remaining portion 122 of the layer 120 together have a lateral dimension La
  • the lateral dimension Ls may be substantially the same as the lateral dimension Li.
  • the lateral dimension Li defines the distance between two conductive materials, such as between a source/drain contact and a gate in a transistor, leading to improved self-aligned process.
  • a dielectric material 124 is formed on the mask 110 and the surface 114 of the second material 104, and a first trench 126 is formed to expose the edge portion 112 and at least a portion of the surface 114 of the second material 104.
  • the dielectric material 124 may be the interlayer dielectric (ILD) and may be fabricated from a dielectric material, such as silicon oxide, silicon nitride, silicon oxynitride, or other suitable material.
  • the first trench 126 may be formed by any suitable process, such as dry etching.
  • a second trench 128 is formed in the second material 104.
  • the second trench 128 may be formed by any suitable process, such as dry etching.
  • the first trench 126 and second trench 128 may be formed in one etching process or multiple etching processes.
  • An electrically conductive material 130 such as a metal, may be deposited into trenches 126, 128, as shown in Figure 1 F.
  • the electrically conductive material 130 in the second trench 128 is separated from the first material 102 by a distance substantially equal to the lateral dimension L.2.
  • the substrate 100 shown in Figure 1 F may be a portion of a contact over active gate structure.
  • Figures 2A - 2F illustrate schematic cross-sectional views of a portion of the substrate 100 during different stages of forming the second trench 128 according to alternative implementations, which can include and/or be combined with one or more implementations described herein.
  • the substrate 100 includes the first material 102, the second material 104, and the third material 106 disposed between the first material 102 and the second material 104.
  • the mask 110 having the edge portion 112 is selectively deposited on the surface 116 of the first material 102, and the edge portion 112 extends over the SAM 108 formed on the surfacel 18 and/or surface 114.
  • the SAM 108 is removed, and a layer 202 is formed on the mask 110 and the surfaces 114, 118 of the second and third materials 104, 106, respectively, by an ALD process. Because of the ALD process, the gap between the edge portion 112 and the surfacel 18 and/or surface 114 is filled with the layer 202. Unlike the layer 120, which is fabricated from the same material as the mask 110, the layer 202 is fabricated from a material different from the mask 110.
  • the layer 202 may be fabricated from a high-k dielectric material, such as hafnium oxide, zirconium oxide, aluminum oxide, titanium oxide, or other suitable material.
  • the dielectric material 124 is formed on the layer 202, and a trench 204 is formed to expose a portion of the layer 202 disposed on the edge portion 112 and at least a portion of the surface 114 of the second material 104.
  • the trench 204 may be formed by any suitable process, such as dry etching.
  • the layer 202 may function as an etch stop layer for the etch process to form the trench 204.
  • the exposed portion of the layer 202 is removed to expose the edge portion 112 and at least a portion of the surface 114 of the second material 104.
  • the exposed portion of the layer 202 may be removed by an etching process.
  • the etching process may be a selective etching process, and the mask 110 may function as an etch stop layer due to the slower etch rate of the material of the mask 110 compared to the etch rate of the layer 202.
  • the portion of the layer 202 disposed between the edge portion 112 and the surface 118 and/or surface 114 is protected by the edge portion 112 and not removed by the etching process.
  • the second trench 128 is formed in the second material 104, as shown in Figure 2E.
  • the electrically conductive material 130 is deposited into trenches 204, 128, as shown in Figure 2F.
  • the electrically conductive material 130 in the second trench 128 is separated from the first material 102 by a distance substantially equal to the lateral dimension Li.
  • the substrate 100 shown in Figure 2F may be a portion of a contact over active gate structure.
  • a gap between a mask formed by a selective deposition process and a substrate is filled with a layer formed by an ALD process.
  • the layer may be fabricated from the same material as the mask or different material than the mask. With the gap filled, electrical paths are improved.

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

Implementations of the present disclosure generally relate to the fabrication of integrated circuits, and more particularly, to methods for forming a layer. The layer may be a mask used in lithography process to pattern and form a trench. The mask is formed over a substrate having at least two distinct materials by a selective deposition process. The edges of the mask are disposed on an intermediate layer formed on at least one of the two distinct materials. The method includes removing the intermediate layer to form a gap between edges of the mask and the substrate and filling the gap with a different material than the mask or with the same material as the mask. By filling the gap with the same or different material as the mask, electrical paths are improved.

Description

METHOD FOR FORMING A LAYER
BACKGROUND
Field
[0001] Implementations of the present disclosure generally relate to the fabrication of integrated circuits, and more particularly, to methods for forming a layer.
Description of the Related Art
[0002] Reducing the size of integrated circuits (ICs) results in improved performance, increased capacity, and/or reduced cost. Shrinking transistor size, for example, allows for the incorporation of an increased number of memory or logic devices on a chip, lending to the fabrication of products with increased capacity. The drive for ever-more capacity, however, is not without issue.
[0003] In the manufacture of ICs, multi-gate transistors have become more prevalent as device dimensions continue to scale down. Scaling multi-gate transistors has not been without consequence, however. As the dimensions of these fundamental building blocks of microelectronic circuitry are reduced, and as the number of building blocks fabricated in a given region increases, the constraints on the lithographic processes used to pattern these building blocks becomes overwhelming.
[0004] Photolithography is commonly used to pattern ICs on a substrate. An exemplary feature of an IC is a line of a material which may be a metal, semiconductor, or insulator. Due to factors such as optics and light or radiation wavelength, however, photolithography techniques are restricted by a minimum pitch, below which a particular photolithographic technique may not reliably form features. Thus, the minimum pitch of a photolithographic technique can limit feature size reduction of ICs.
[0005] Processes such as self-aligned double patterning (SADR), seif-aligned quadruple patterning (SAQP), and litho-etch-litho-etch (LELE) may be used for extending the capabilities of photolithographic techniques beyond the minimum pitch capabilities of existing lithographic equipment. Following the SADP, SAGP, or LELE process, multi-cut or block masks are placed over the lines and spaces generated by SADR, SAQP, or LELE processes to perform device patterning. As the feature size decreases, pitch and iinewidth also decrease. Therefore, the precision of mask edge placement control needs to be improved. Equipment capable of meeting such tight geometric requirements are extremely expensive, and additionally, such tight geometric requirements also contribute to low production yields.
[0006] Therefore, there is a need for improved methods for forming a layer, such as a mask.
SUMMARY
[0007] Implementations of the present disclosure generally relate to the fabrication of integrated circuits, and more particularly, to methods for forming a layer !n one implementation, a device includes a first material having a first surface, a second material having a second surface, a mask disposed on the first surface, and the mask has an edge portion extending over the second surface. The device further includes a layer disposed between the edge portion and the second surface, and the layer is in contact with the edge portion and the second surface.
[0008] In another embodiment, a method for forming a semiconductor device includes forming a mask on a first surface of a first material by a selective deposition process, the mask having an edge portion extending over a second surface of a second material, and the edge portion is in contact with a seif-assembled monolayer The method further includes removing the self-assembled monolayer to expose the second surface of the second material and to form a gap between the edge portion of the mask and the second surface of the second material, forming a layer on the mask and the exposed second surface of the second material by an atomic layer deposition process, the gap being filled with the layer, and removing at least a portion of the layer to expose at least a portion of the second surface of the second material.
BR!EF DESCRIPTION OF THE DRAWINGS
[0609] So that the manner in which the above recited features of the present disclosure can be understood in detail, a more particular description of the disclosure, briefly summarized above, may be had by reference to implementations, some of which are illustrated in the appended drawings. If is to be noted, however, that the appended drawings illustrate only exemplary implementations and are therefore not to be considered limiting of its scope, and may admit to other equally effective implementations.
[0010] Figures 1D - 1 F illustrate schematic cross-sectional views of a portion of a substrate during different stages of forming a semiconductor device.
[0011] Figures 2A - 2F illustrate schematic cross-sectional views of a portion of a substrate during different stages of forming a semiconductor device.
[0012] To facilitate understanding, identical reference numerals have been used, where possible, to designate identical elements that are common to the figures. It is contemplated that elements and features of one implementation may be beneficially incorporated in other implementations without further recitation.
DETAILED DESCRIPTION
[0013] Implementations of the present disclosure generally relate to the fabrication of integrated circuits, and more particularly, to methods for forming a layer. The layer may be a mask used in lithography process to pattern and form a trench. The mask is formed over a substrate having at least two distinct materials by a selective deposition process. The edges of the mask are disposed on an intermediate layer formed on at least one of the two distinct materials. The method includes removing the intermediate layer to form a gap between edges of the mask and the substrate and filling the gap with a different material than the mask or with the same material as the mask. By filling the gap with the same or different material as the mask, electrical paths are improved. Furthermore, the edges of the mask define the distance between two conductive materials, such as between a source/drain contact and a gate in a transistor, leading to improved self-aligned process.
[0014] Figures 1 A ~ 1 F illustrate schematic cross-sectional views of a portion of a substrate 100 during different stages of forming a trench. As shown in Figure 1A, the substrate 100 includes a first material 102, a second material 104, and a third material 106 disposed between the first material 102 and the second material 104. The first material 102 is an electrically conductive material, such as a metal. For example, the first material 102 may be cobalt, tungsten, or any suitable electrically conductive material. The first material 102 may be a gate or source/drain contact in a transistor. The second material 104 is a dielectric material, such as a carbide, an oxide or nitride. For example, the second material 104 may be silicon carbide, silicon oxycarbide, silicon nitride, tungsten carbide, or tungsten oxide !n some implementations, the first material 102 is a gate and the third material 106 is a work function layer, such as titanium nitride or tantalum nitride. In some implementations, the third material 106 is omitted, and the first material 102 is in contact with the second material 104.
[0015] The first material 102 has a surface 116, the second material 104 has a surface 114, and the third material has a surface 118. The surfaces 116, 114, and 118 may be co-planar, as shown in Figure 1A. Alternatively, the surfaces 116, 114, and 118 may not co-planar, and this feature can be combined with one or more implementations described herein A mask 110 is selectively deposited on the surface 116 of the first material 102 by a selective deposition process. The mask 110 is fabricated from a dielectric material, such as a high-k dielectric material. For example, the mask 110 may be fabricated from hafnium oxide, zirconium oxide, aluminum oxide, titanium oxide, or other suitable material. The selective deposition process for selectively deposit the mask 110 on the first material 102 includes deactivating surfaces 114 and 118 of the second material 104 and the third material 106, respectively. Deactivation of the surfaces 114 and 118 may be performed by forming a seif-assembled monolayer (SAM) 108 on the surfaces 114 and 118. The SAM 108 may be fabricated from a material that has strong adhesion to the second material 104 and the third material 106 and weak adhesion to the first material 102. For example, the SAM 108 may include a carbon chain and a thiol terminal group. Due to the weak adhesion to the first material 102, the SAM 108 does not form on the surface 116 of the first material 102. The SAM 108 also deactivates the surfaces 114, 118 of the second material 104 and the third material 106, respectively. The mask 110 may be deposited by any suitable method, such as atomic layer deposition (ALD) or chemical vapor deposition (CVD), and the mask 110 is deposited on the surface 116 of the first material 102 and not on the SAM 108 due to the chemistry of the SAM 108 and the mask 110 Flowever, the edge of the mask 110 can extend laterally over the SAM 108. Thus, the edge portion 112 of the mask 110 is disposed over the SAM 108, such as on and in contact with the SAM 108. The lateral dimension U of the edge portion 112 of the mask 110 extends over the SAM 108 and can be controlled by the thickness of the mask 110. Thicker mask 110 leads to larger Li of the edge portion 112 of the mask 110 over the SAM 108. After the mask 110 is selectively deposited on the surface 116 of the first material 102, the SAM 108 is removed, leaving a gap between the edge portion 112 of the mask 110 and the surface 118 and/or surface 114.
[0016] Conventionally, a material is deposited on the mask 110 and a portion of the surface 114 of the second material 104 by a CVD process, and the gap between the edge portion 112 and the surface 118 and/or surface 114 is not filled. This gap can lead to weak electrical paths.
[0017] To improve electrical paths, a layer 120 is formed on the mask 110 and the surfaces 114, 118 of the second and third materials 104, 106, respectively, by an ALD process, as shown in Figure 1 B. The layer 120 may be fabricated from the same material as the mask 110. Because the ALD process has very good step coverage, the gap between the edge portion 112 and the surface 118 and/or surface 114 is filled with the layer 120. Next, as shown in Figure 1 C, a majority of the layer 120 is removed by an etching process to expose a portion of the surface 114 of the second material 104. The etching process removes portions of the layer 120 on the mask 110 and on the surface 114, but the etching process does not remove the portion of the layer 120 under the mask 110. As a result, a remaining portion 122 of the layer 120 between the edge portion 112 and the surface 118 and/or surface 114 is not removed by the etching process. The edge portion 112 and the remaining portion 122 of the layer 120 together have a lateral dimension La The lateral dimension Ls may be substantially the same as the lateral dimension Li. In some applications, the lateral dimension Li defines the distance between two conductive materials, such as between a source/drain contact and a gate in a transistor, leading to improved self-aligned process.
[0018] Next, as shown in Figure 1 D, a dielectric material 124 is formed on the mask 110 and the surface 114 of the second material 104, and a first trench 126 is formed to expose the edge portion 112 and at least a portion of the surface 114 of the second material 104. The dielectric material 124 may be the interlayer dielectric (ILD) and may be fabricated from a dielectric material, such as silicon oxide, silicon nitride, silicon oxynitride, or other suitable material. The first trench 126 may be formed by any suitable process, such as dry etching. Next, as shown in Figure 1 E, a second trench 128 is formed in the second material 104. The second trench 128 may be formed by any suitable process, such as dry etching. The first trench 126 and second trench 128 may be formed in one etching process or multiple etching processes. An electrically conductive material 130, such as a metal, may be deposited into trenches 126, 128, as shown in Figure 1 F. The electrically conductive material 130 in the second trench 128 is separated from the first material 102 by a distance substantially equal to the lateral dimension L.2. The substrate 100 shown in Figure 1 F may be a portion of a contact over active gate structure.
[0019] Figures 2A - 2F illustrate schematic cross-sectional views of a portion of the substrate 100 during different stages of forming the second trench 128 according to alternative implementations, which can include and/or be combined with one or more implementations described herein. As shown in Figure 2A, the substrate 100 includes the first material 102, the second material 104, and the third material 106 disposed between the first material 102 and the second material 104. The mask 110 having the edge portion 112 is selectively deposited on the surface 116 of the first material 102, and the edge portion 112 extends over the SAM 108 formed on the surfacel 18 and/or surface 114.
[0020] Next, as shown in Figure 2B, the SAM 108 is removed, and a layer 202 is formed on the mask 110 and the surfaces 114, 118 of the second and third materials 104, 106, respectively, by an ALD process. Because of the ALD process, the gap between the edge portion 112 and the surfacel 18 and/or surface 114 is filled with the layer 202. Unlike the layer 120, which is fabricated from the same material as the mask 110, the layer 202 is fabricated from a material different from the mask 110. The layer 202 may be fabricated from a high-k dielectric material, such as hafnium oxide, zirconium oxide, aluminum oxide, titanium oxide, or other suitable material.
[0021] Next, as shown in Figure 2C, the dielectric material 124 is formed on the layer 202, and a trench 204 is formed to expose a portion of the layer 202 disposed on the edge portion 112 and at least a portion of the surface 114 of the second material 104. The trench 204 may be formed by any suitable process, such as dry etching. The layer 202 may function as an etch stop layer for the etch process to form the trench 204. Next, as shown in Figure 2D, the exposed portion of the layer 202 is removed to expose the edge portion 112 and at least a portion of the surface 114 of the second material 104. The exposed portion of the layer 202 may be removed by an etching process. The etching process may be a selective etching process, and the mask 110 may function as an etch stop layer due to the slower etch rate of the material of the mask 110 compared to the etch rate of the layer 202. The portion of the layer 202 disposed between the edge portion 112 and the surface 118 and/or surface 114 is protected by the edge portion 112 and not removed by the etching process. Next, the second trench 128 is formed in the second material 104, as shown in Figure 2E. The electrically conductive material 130 is deposited into trenches 204, 128, as shown in Figure 2F. The electrically conductive material 130 in the second trench 128 is separated from the first material 102 by a distance substantially equal to the lateral dimension Li. The substrate 100 shown in Figure 2F may be a portion of a contact over active gate structure.
[0022] In summary, a gap between a mask formed by a selective deposition process and a substrate is filled with a layer formed by an ALD process. The layer may be fabricated from the same material as the mask or different material than the mask. With the gap filled, electrical paths are improved.
While the foregoing is directed to implementations of the present disclosure, other and further implementations of the disclosure may be devised without departing from the basic scope thereof, and the scope thereof is determined by the claims that follow.

Claims

What is c!aimed is:
1. A device, comprising:
a first material having a first surface;
a second material having a second surface;
a mask disposed on the first surface, the mask having an edge portion extending over the second surface; and
a layer disposed between the edge portion and the second surface, the layer being in contact with the edge portion and the second surface.
2. The device of claim 1 , wherein the first material comprises an electrically conductive material, the second material comprises a dielectric material, and the mask comprises a high-k dielectric material.
3. The device of claim 2, wherein the first material comprises a metal, and the second material comprises silicon carbide, silicon oxycarbide, silicon nitride, tungsten carbide, or tungsten oxide.
4. The device of claim 2, wherein the mask comprises hafnium oxide, zirconium oxide, aluminum oxide, or titanium oxide.
5. The device of claim 1 , further comprising a third material disposed between the first material and the second material, wherein the layer is disposed on a third surface of the third material.
8. A method for forming a semiconductor device, the method comprising:
forming a mask on a first surface of a first material by a selective deposition process, the mask having an edge portion extending over a second surface of a second material, the edge portion being in contact with a self-assembled monolayer; removing the self-assembled monolayer to expose the second surface of the second material and to form a gap between the edge portion of the mask and the second surface of the second material; forming a layer on the mask and the exposed second surface of the second material by an atomic layer deposition process, the gap being filled with the layer; and
removing at least a portion of the layer to expose at least a portion of the second surface of the second material.
7. The method of claim 6, wherein the layer comprises the same material as the mask.
8. The method of claim 7, further comprising forming a dielectric material on the mask and the portion of the second surface
9. The method of claim 8, further comprising forming a first trench in the dielectric material and a second trench in the second material.
10. The method of claim 9, further comprising depositing a first electrically conductive material in the first and second trenches.
11. The method of claim 6, wherein the layer comprises a different material than the mask.
12. The method of claim 11 further comprising forming a dielectric material on the layer prior to the removing the portion of the layer.
13. The method of claim 12, further comprising forming a first trench in the dielectric material to expose the portion of the layer prior to the removing the portion of the layer.
14. The method of claim 13, further comprising forming a second trench in the second material.
15. The method of claim 14, further comprising depositing a second electrically conductive material in the first and second trenches.
PCT/US2019/057997 2018-11-16 2019-10-25 Method for forming a layer WO2020101861A1 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201862768573P 2018-11-16 2018-11-16
US62/768,573 2018-11-16

Publications (1)

Publication Number Publication Date
WO2020101861A1 true WO2020101861A1 (en) 2020-05-22

Family

ID=70731884

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2019/057997 WO2020101861A1 (en) 2018-11-16 2019-10-25 Method for forming a layer

Country Status (2)

Country Link
TW (1) TWI825219B (en)
WO (1) WO2020101861A1 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP4109504A1 (en) * 2021-06-23 2022-12-28 INTEL Corporation Protective layer for gate cap reinforcement

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20050079731A (en) * 2004-02-06 2005-08-11 삼성전자주식회사 Semiconductor device attaining improved overlay margin and manufacturing method thereof
US20130299919A1 (en) * 2012-05-14 2013-11-14 Taiwan Semiconductor Manufacturing Company, Ltd. MOS Devices with Mask Layers and Methods for Forming the Same
US20170092533A1 (en) * 2015-09-29 2017-03-30 Applied Materials, Inc. Selective silicon dioxide deposition using phosphonic acid self assembled monolayers as nucleation inhibitor
US20170256402A1 (en) * 2016-03-03 2017-09-07 Applied Materials, Inc. Self-assembled monolayer blocking with intermittent air-water exposure
KR20180075589A (en) * 2015-10-26 2018-07-04 오티아이 루미오닉스 인크. A method of patterning a coating on a surface and a device comprising a patterned coating

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20050079731A (en) * 2004-02-06 2005-08-11 삼성전자주식회사 Semiconductor device attaining improved overlay margin and manufacturing method thereof
US20130299919A1 (en) * 2012-05-14 2013-11-14 Taiwan Semiconductor Manufacturing Company, Ltd. MOS Devices with Mask Layers and Methods for Forming the Same
US20170092533A1 (en) * 2015-09-29 2017-03-30 Applied Materials, Inc. Selective silicon dioxide deposition using phosphonic acid self assembled monolayers as nucleation inhibitor
KR20180075589A (en) * 2015-10-26 2018-07-04 오티아이 루미오닉스 인크. A method of patterning a coating on a surface and a device comprising a patterned coating
US20170256402A1 (en) * 2016-03-03 2017-09-07 Applied Materials, Inc. Self-assembled monolayer blocking with intermittent air-water exposure

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP4109504A1 (en) * 2021-06-23 2022-12-28 INTEL Corporation Protective layer for gate cap reinforcement

Also Published As

Publication number Publication date
TWI825219B (en) 2023-12-11
TW202036659A (en) 2020-10-01

Similar Documents

Publication Publication Date Title
CN110648919B (en) Gate structure fabrication with notches
TWI503863B (en) Semiconductor structures including tight pitch contacts and methods to form same
KR102296810B1 (en) Self-aligned patterning using directed self-assembly of block copolymers
TW201926436A (en) Method for manufacturing semiconductor devices and structures thereof
TW201917775A (en) Mask scheme for cut pattern flow with enlarged EPE window
US10692728B2 (en) Use of selective aluminum oxide etch
US20200373160A1 (en) Method for forming semiconductor structure
KR20150106376A (en) Method of forming self-aligned contacts using a replacement metal gate process in a semiconductor device
US11557661B2 (en) Method for manufacturing semiconductor device
US10290535B1 (en) Integrated circuit fabrication with a passivation agent
US11508618B2 (en) Multicolor self-aligned contact selective etch
CN109559978B (en) Semiconductor structure and forming method thereof
US10957590B2 (en) Method for forming a layer
US20190228976A1 (en) Capping structure
US9324577B2 (en) Modified self-aligned contact process and semiconductor device
CN112086433A (en) Semiconductor element and method for manufacturing the same
US20240021728A1 (en) Semiconductor structure and fabrication method thereof
WO2020101861A1 (en) Method for forming a layer
TWI670770B (en) Enlarged sacrificial gate caps for forming self-aligned contacts
TWI576959B (en) Method of forming semiconductor structure having contact plug
CN112750773B (en) Method for producing gate and source/drain via connections for contact transistors
US8211774B2 (en) Method for forming semiconductor structure
US20220301932A1 (en) Self-aligned cut-metal layer method and device
US10930549B2 (en) Cap structure
US8871649B2 (en) Methods of forming trench/hole type features in a layer of material of an integrated circuit product

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 19884955

Country of ref document: EP

Kind code of ref document: A1

NENP Non-entry into the national phase

Ref country code: DE

122 Ep: pct application non-entry in european phase

Ref document number: 19884955

Country of ref document: EP

Kind code of ref document: A1