TW202036659A - Method for forming a layer - Google Patents

Method for forming a layer Download PDF

Info

Publication number
TW202036659A
TW202036659A TW108141342A TW108141342A TW202036659A TW 202036659 A TW202036659 A TW 202036659A TW 108141342 A TW108141342 A TW 108141342A TW 108141342 A TW108141342 A TW 108141342A TW 202036659 A TW202036659 A TW 202036659A
Authority
TW
Taiwan
Prior art keywords
mask
layer
trench
forming
oxide
Prior art date
Application number
TW108141342A
Other languages
Chinese (zh)
Other versions
TWI825219B (en
Inventor
王文輝
輝雄 戴
克里斯多夫S 倪
立其 吳
張聞宇
咏梅 陳
陳浩
達信 黃
常 柯
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW202036659A publication Critical patent/TW202036659A/en
Application granted granted Critical
Publication of TWI825219B publication Critical patent/TWI825219B/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/32Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers using masks

Abstract

Implementations of the present disclosure generally relate to the fabrication of integrated circuits, and more particularly, to methods for forming a layer. The layer may be a mask used in lithography process to pattern and form a trench. The mask is formed over a substrate having at least two distinct materials by a selective deposition process. The edges of the mask are disposed on an intermediate layer formed on at least one of the two distinct materials. The method includes removing the intermediate layer to form a gap between edges of the mask and the substrate and filling the gap with a different material than the mask or with the same material as the mask. By filling the gap with the same or different material as the mask, electrical paths are improved.

Description

形成層之方法Method of cambium

本發明的實施例大體上關於製造積體電路,且更具體地關於形成層的方法。The embodiments of the present invention generally relate to manufacturing integrated circuits, and more specifically to methods of forming layers.

減少積體電路(IC)的尺寸造成改善效能、增加容量、及/或降低成本。縮減電晶體尺寸容許例如在晶片上併入增加數目的記憶體或邏輯元件、增添產品製造的增加生產力。然而,驅使越來越多的生產力並非毫無問題。Reducing the size of an integrated circuit (IC) results in improved performance, increased capacity, and/or reduced costs. Reducing the size of the transistor allows, for example, to incorporate an increased number of memory or logic components on the chip, increasing the productivity of product manufacturing. However, driving more and more productivity is not without problems.

在製造IC中,隨著元件尺寸持續縮小,多閘極電晶體已經變得越來越盛行。然而,縮小多閘極電晶體已經不是毫無困難。隨著微電子電路的這些基礎建構區塊的尺寸減少,及隨著製造在給定區域中建構區塊的數目增加,在用於圖案化這些建構區塊的微影處理上的制限變得難以抑制。In the manufacture of ICs, as component sizes continue to shrink, multi-gate transistors have become more and more popular. However, shrinking multi-gate transistors is not without difficulty. As the size of these basic building blocks of microelectronic circuits decreases, and as the number of building blocks manufactured in a given area increases, it becomes difficult to limit the lithography process used to pattern these building blocks inhibition.

光微影術通常用於圖案化基板上的IC。IC的示例特徵為材料的線段,材料可為金屬、半導體或絕緣體。然而,由於諸如光學及光或輻射波長的因素,光微影術技術被最小節距所限制,低於最小節距時,特定光微影術技術會無法可靠地形成特徵。因此,光微影術技術的最小節距會限制IC的特徵尺寸縮小。Photolithography is commonly used to pattern ICs on substrates. An example feature of an IC is a line segment of material, which can be metal, semiconductor, or insulator. However, due to factors such as optics and light or radiation wavelengths, photolithography techniques are limited by the minimum pitch. When the minimum pitch is lower, certain photolithography techniques cannot reliably form features. Therefore, the minimum pitch of photolithography technology will limit the feature size reduction of IC.

諸如自對準雙重圖案化(SADP)、自對準四重圖案化(SAQP)、微影-蝕刻-微影-蝕刻(LELE)之處理可用於擴展光微影術技術的生產力超過現存微影設備之最小節距生產力。在SADP、SAQP、或LELE處理之後,多重切割或區塊遮罩放置在由SADP、SAQP、或LELE處理產生的線段與間隔上方以執行元件圖案化。隨著特徵尺寸減小,節距與線寬也減小。因此,遮罩邊緣佈置控制的精確性需要改善。能夠符合如此嚴苛的幾何要求的設備是極昂貴的,且此外,如此嚴苛的幾何要求也促成低生產產量。Processing such as self-aligned double patterning (SADP), self-aligned quadruple patterning (SAQP), lithography-etching-lithography-etching (LELE) can be used to expand the productivity of photolithography technology to exceed existing lithography The minimum pitch productivity of the equipment. After SADP, SAQP, or LELE processing, multiple cuts or block masks are placed over the lines and spaces generated by SADP, SAQP, or LELE processing to perform element patterning. As the feature size decreases, the pitch and line width also decrease. Therefore, the accuracy of mask edge arrangement control needs to be improved. Equipment that can meet such strict geometric requirements is extremely expensive, and in addition, such strict geometric requirements also contribute to low production yields.

因此,需要用於形成層的改善方法,諸如一種遮罩。Therefore, there is a need for improved methods for forming layers, such as a mask.

本發明的實施例大體上關於製造積體電路,且更具體地關於形成層的方法。在一實施例中,一種裝置包括具有第一表面的第一材料、具有第二表面的第二材料、安置在第一表面上的遮罩,及此遮罩具有在第二表面上方延伸的邊緣部分。此裝置進一步包括安置在邊緣部分與第二表面之間的層,且此層接觸邊緣部分與第二表面。The embodiments of the present invention generally relate to manufacturing integrated circuits, and more specifically to methods of forming layers. In one embodiment, a device includes a first material having a first surface, a second material having a second surface, a mask disposed on the first surface, and the mask has an edge extending above the second surface section. The device further includes a layer disposed between the edge portion and the second surface, and the layer contacts the edge portion and the second surface.

在另一實施例中,一種形成半導體裝置的方法包括藉由選擇性沉積處理在第一材料的第一表面上形成遮罩,此遮罩具有在第二材料的第二表面上方延伸的邊緣部分,及此邊緣部分接觸自組裝單層。此方法進一步包括移除自組裝單層以暴露第二材料的第二表面及在遮罩的邊緣部分與第二材料的第二表面之間形成間隙,藉由原子層沉積處理在遮罩與第二材料之暴露的第二表面上形成一層,以此層填充此間隙,及移除此層的至少一部分以暴露第二材料的第二表面的至少一部分。In another embodiment, a method of forming a semiconductor device includes forming a mask on a first surface of a first material by a selective deposition process, the mask having an edge portion extending over a second surface of a second material , And this edge part contacts the self-assembled monolayer. The method further includes removing the self-assembled monolayer to expose the second surface of the second material and forming a gap between the edge portion of the mask and the second surface of the second material, and the mask and the second surface are formed by atomic layer deposition. A layer is formed on the exposed second surface of the two materials, the gap is filled with this layer, and at least a part of the layer is removed to expose at least a part of the second surface of the second material.

本發明的實施例大體上關於製造積體電路,且更具體地關於形成層的方法。此層可為使用在微影處理中的遮罩以圖案化與形成溝槽。遮罩藉由選擇性沉積處理形成在具有至少兩種不同材料的基板上方。遮罩的邊緣安置在形成在此兩種不同材料的至少一者上的中間層上。此方法包括移除中間層以在遮罩的邊緣與基板之間形成間隙及以與遮罩不同的材料或與遮罩相同的材料填充間隙。藉由以與遮罩相同或不同的材料填充間隙,改善電氣路徑。再者,遮罩的邊緣界定兩種導電材料之間的距離,諸如電晶體中源極/汲極接點與閘極之間的距離,造成改善的自對準處理。The embodiments of the present invention generally relate to manufacturing integrated circuits, and more specifically to methods of forming layers. This layer can be a mask used in lithography to pattern and form grooves. The mask is formed on the substrate with at least two different materials by a selective deposition process. The edge of the mask is placed on an intermediate layer formed on at least one of the two different materials. This method includes removing the intermediate layer to form a gap between the edge of the mask and the substrate and filling the gap with a material different from the mask or the same material as the mask. By filling the gap with the same or different material as the mask, the electrical path is improved. Furthermore, the edge of the mask defines the distance between the two conductive materials, such as the distance between the source/drain contact and the gate in the transistor, resulting in an improved self-alignment process.

圖1A–1F繪示在形成溝槽的不同階段期間之基板100的一部分的圖解剖面視圖。如圖1A所示,基板100包括第一材料102、第二材料104、及安置在第一材料102與第二材料104之間的第三材料106。第一材料102是導電材料,諸如金屬。例如,第一材料102可為鈷、鎢、或任何合適的導電材料。第一材料102可為電晶體中的閘極或源極/汲極接點。第二材料104是介電材料,諸如碳化物、氧化物或氮化物。例如,第二材料104可為碳化矽、氧碳化矽、氮化矽、碳化鎢、或氧化鎢。在某些實施例中,第一材料102是閘極而第三材料106是功函數層,諸如氮化鈦或氮化鉭。在某些實施例中,省略第三材料106,而第一材料102接觸第二材料104。1A-1F show diagrammatic cross-sectional views of a portion of the substrate 100 during different stages of forming trenches. As shown in FIG. 1A, the substrate 100 includes a first material 102, a second material 104, and a third material 106 disposed between the first material 102 and the second material 104. The first material 102 is a conductive material, such as metal. For example, the first material 102 may be cobalt, tungsten, or any suitable conductive material. The first material 102 can be a gate or a source/drain contact in a transistor. The second material 104 is a dielectric material, such as carbide, oxide, or nitride. For example, the second material 104 may be silicon carbide, silicon oxycarbide, silicon nitride, tungsten carbide, or tungsten oxide. In some embodiments, the first material 102 is a gate and the third material 106 is a work function layer, such as titanium nitride or tantalum nitride. In some embodiments, the third material 106 is omitted, and the first material 102 contacts the second material 104.

第一材料102具有表面116、第二材料104具有表面114、與第三材料具有表面118。表面116、114、與118可為共平面,如圖1A所示。或者,表面116、114、與118可不共平面,且此特徵可與本文所述的一或多個實施例結合。藉由選擇性沉積處理將遮罩110選擇性沉積在第一材料102的表面116上。遮罩110由介電材料所製造,諸如高k介電材料。例如,遮罩110可由氧化鉿、氧化鋯、氧化鋁、氧化鈦或其他合適材料所製造。用於在第一材料102上選擇性沉積遮罩110的選擇性沉積處理包括分別將第二材料104與第三材料106的表面114與118去活化。可藉由在表面114與118上形成自組裝單層(SAM)108來執行表面114與118的去活化。SAM 108可由具有對第二材料104與第三材料106為強吸附而對第一材料102為弱吸附的材料所製造。例如,SAM 108可包括碳鏈與硫醇末端基。由於對於第一材料102的弱吸附,SAM 108不在第一材料102的表面116上形成。SAM 108也分別去活化第二材料104與第三材料106的表面114、118。遮罩110可藉由任何合適方法沉積,諸如原子層沉積(ALD)或化學氣相沉積(CVD),及由於SAM 108與遮罩110的化學性,遮罩110沉積在第一材料102的表面116上而不在SAM 108上。然而,遮罩110的邊緣可側向地延伸在SAM 108上方。因此,遮罩110的邊緣部分112安置在SAM 108上方,諸如在SAM 108上並接觸SAM 108。遮罩110的邊緣部分112的側向尺寸L1 延伸在SAM 108上方且可藉由遮罩110的厚度來控制。較厚的遮罩110造成在SAM 108上方較大的遮罩110的邊緣部分112的L1 。在第一材料102的表面116上選擇性沉積遮罩110之後,移除SAM 108,留下遮罩110的邊緣部分112與表面118及/或表面114之間的間隙。The first material 102 has a surface 116, the second material 104 has a surface 114, and the third material has a surface 118. The surfaces 116, 114, and 118 may be coplanar, as shown in FIG. 1A. Alternatively, the surfaces 116, 114, and 118 may not be co-planar, and this feature may be combined with one or more embodiments described herein. The mask 110 is selectively deposited on the surface 116 of the first material 102 by a selective deposition process. The mask 110 is made of a dielectric material, such as a high-k dielectric material. For example, the mask 110 may be made of hafnium oxide, zirconium oxide, aluminum oxide, titanium oxide, or other suitable materials. The selective deposition process for selectively depositing the mask 110 on the first material 102 includes deactivating the surfaces 114 and 118 of the second material 104 and the third material 106, respectively. The deactivation of the surfaces 114 and 118 can be performed by forming a self-assembled monolayer (SAM) 108 on the surfaces 114 and 118. The SAM 108 can be made of a material that has strong adsorption to the second material 104 and the third material 106 and weak adsorption to the first material 102. For example, SAM 108 may include a carbon chain and thiol end groups. Due to the weak adsorption of the first material 102, the SAM 108 is not formed on the surface 116 of the first material 102. The SAM 108 also deactivates the surfaces 114 and 118 of the second material 104 and the third material 106 respectively. The mask 110 can be deposited by any suitable method, such as atomic layer deposition (ALD) or chemical vapor deposition (CVD), and due to the chemistry of the SAM 108 and the mask 110, the mask 110 is deposited on the surface of the first material 102 116 instead of SAM 108. However, the edge of the mask 110 may extend laterally above the SAM 108. Therefore, the edge portion 112 of the mask 110 is positioned above the SAM 108, such as on and in contact with the SAM 108. The lateral dimension L 1 of the edge portion 112 of the mask 110 extends above the SAM 108 and can be controlled by the thickness of the mask 110. The thicker mask 110 results in L 1 of the edge portion 112 of the larger mask 110 above the SAM 108. After the mask 110 is selectively deposited on the surface 116 of the first material 102, the SAM 108 is removed, leaving a gap between the edge portion 112 of the mask 110 and the surface 118 and/or the surface 114.

習知地,藉由CVD處理在遮罩110與第二材料104的表面114的一部分上沉積材料,及邊緣部分112與表面118及/或表面114之間的間隙未被填充。此間隙會造成虛弱電氣路徑。Conventionally, a material is deposited on a part of the surface 114 of the mask 110 and the second material 104 by a CVD process, and the gap between the edge portion 112 and the surface 118 and/or the surface 114 is not filled. This gap creates a weak electrical path.

為了改善電氣路徑,層120藉由ALD處理形成在遮罩110上及分別在第二與第三材料104、106的表面114、118上,如圖1B所示。層120可由與遮罩110相同的材料所製造。因為ALD處理具有非常良好的階段覆蓋,邊緣部分112與表面118及/或表面114之間的間隙被層120所填充。接下來,如圖1C所示,藉由蝕刻處理移除大部分的層120以暴露第二材料104的表面114的一部分。蝕刻處理移除在遮罩110上與表面114上的層120的部分,但蝕刻處理不移除在遮罩110下的層120的部分。因此,邊緣部分112與表面118及/或表面114之間的層120的剩餘部分122不被蝕刻處理移除。邊緣部分112與層120的剩餘部分122共同具有側向尺寸L2 。側向尺寸L2 可實質上與側向尺寸L1 相同。在某些應用中,側向尺寸L2 界定兩種導電材料之間的距離,諸如電晶體中源極/汲極接點與閘極之間,造成改善的自對準處理。In order to improve the electrical path, the layer 120 is formed on the mask 110 by ALD processing and on the surfaces 114, 118 of the second and third materials 104, 106, respectively, as shown in FIG. 1B. The layer 120 may be made of the same material as the mask 110. Because the ALD process has very good stage coverage, the gap between the edge portion 112 and the surface 118 and/or the surface 114 is filled by the layer 120. Next, as shown in FIG. 1C, most of the layer 120 is removed by an etching process to expose a part of the surface 114 of the second material 104. The etching process removes the part of the layer 120 on the mask 110 and the surface 114, but the etching process does not remove the part of the layer 120 under the mask 110. Therefore, the remaining portion 122 of the layer 120 between the edge portion 112 and the surface 118 and/or the surface 114 is not removed by the etching process. The edge portion 112 and the remaining portion 122 of the layer 120 have a lateral dimension L 2 in common. The lateral dimension L 2 may be substantially the same as the lateral dimension L 1 . In some applications, the lateral dimension L 2 defines the distance between two conductive materials, such as between the source/drain contact and the gate in a transistor, resulting in an improved self-alignment process.

接下來,如圖1D所示,介電材料124形成在遮罩110與第二材料104的表面114上,及形成第一溝槽126以暴露邊緣部分112和第二材料104的表面114的至少一部分。介電材料124可為中間層介電質(ILD)且可由介電材料所製造,諸如氧化矽、氮化矽、氧氮化矽、或其他合適材料。第一溝槽126可藉由任何合適處理形成,諸如乾式蝕刻。接下來,如圖1E所示,第二溝槽128形成在第二材料104中。第二溝槽128可藉由任何合適處理形成,諸如乾式蝕刻。第一溝槽126與第二溝槽128可在一個蝕刻處理中形成或在多個蝕刻處理中形成。諸如金屬的導電材料130可沉積進入溝槽126、128,如圖1F所示。第二溝槽128中的導電材料130與第一材料102分開實質上等於側向尺寸L2 的距離。圖1F所示的基板100可為在主動閘極結構上方的接點的一部分。Next, as shown in FIG. 1D, a dielectric material 124 is formed on the surface 114 of the mask 110 and the second material 104, and a first trench 126 is formed to expose at least the edge portion 112 and the surface 114 of the second material 104 Part. The dielectric material 124 may be an interlayer dielectric (ILD) and may be made of a dielectric material, such as silicon oxide, silicon nitride, silicon oxynitride, or other suitable materials. The first trench 126 may be formed by any suitable process, such as dry etching. Next, as shown in FIG. 1E, a second trench 128 is formed in the second material 104. The second trench 128 may be formed by any suitable process, such as dry etching. The first trench 126 and the second trench 128 may be formed in one etching process or in multiple etching processes. A conductive material 130 such as a metal may be deposited into the trenches 126, 128, as shown in FIG. 1F. The conductive material 130 in the second trench 128 is separated from the first material 102 by a distance substantially equal to the lateral dimension L 2 . The substrate 100 shown in FIG. 1F may be a part of the contact above the active gate structure.

圖2A–2F繪示根據替代實施例在形成第二溝槽128的不同階段期間之基板100的一部分的圖解剖面視圖,此替代實施例可包括及/或與本文所述的一或多個實施例結合。如圖2A所示,基板100包括第一材料102、第二材料104、及安置在第一材料102與第二材料104之間的第三材料106。具有邊緣部分112的遮罩110選擇性沉積在第一材料102的表面116上,及邊緣部分112在形成在表面118及/或表面114上的SAM 108上方延伸。FIGS. 2A-2F illustrate diagrammatic cross-sectional views of a portion of the substrate 100 during different stages of forming the second trench 128 according to an alternative embodiment. This alternative embodiment may include and/or be similar to one or more described herein. Example combination. As shown in FIG. 2A, the substrate 100 includes a first material 102, a second material 104, and a third material 106 disposed between the first material 102 and the second material 104. A mask 110 having an edge portion 112 is selectively deposited on the surface 116 of the first material 102, and the edge portion 112 extends above the SAM 108 formed on the surface 118 and/or the surface 114.

接下來,如圖2B所示,移除SAM 108,及層202藉由ALD處理形成在遮罩110及分別在第二與第三材料104、106的表面114、118上。由於ALD處理,邊緣部分112與表面118及/或表面114之間的間隙被層202填充。與由如遮罩110相同的材料製造的層120不同,層202由與遮罩110不同的材料所製造。層202可由高k介電材料製造,諸如氧化鉿、氧化鋯、氧化鋁、氧化鈦、或其他合適材料。Next, as shown in FIG. 2B, the SAM 108 is removed, and the layer 202 is formed by the ALD process on the mask 110 and on the surfaces 114, 118 of the second and third materials 104, 106, respectively. Due to the ALD process, the gap between the edge portion 112 and the surface 118 and/or the surface 114 is filled by the layer 202. Unlike the layer 120 made of the same material as the mask 110, the layer 202 is made of a different material from the mask 110. The layer 202 may be made of a high-k dielectric material, such as hafnium oxide, zirconium oxide, aluminum oxide, titanium oxide, or other suitable materials.

接下來,如圖2C所示,介電材料124形成在層202上,及形成溝槽204以暴露安置在邊緣部分112與第二材料104的表面114的至少一部分上的層202的一部分。溝槽204可由任何合適處理形成,諸如乾式蝕刻。層202可作用為用於蝕刻處理的蝕刻終止層以形成溝槽204。接下來,如圖2D所示,移除層202的暴露部分以暴露邊緣部分112與第二材料104的表面114的至少一部分。可藉蝕刻處理移除層202的暴露部分。蝕刻處理可為選擇性蝕刻處理,與由於相較於層202的蝕刻速率之較慢的遮罩110的材料的蝕刻速率,遮罩110可作用為蝕刻終止層。安置在邊緣部分112與表面118及/或表面114之間的層202的部分由邊緣部分112保護且不被蝕刻處理移除。接下來,第二溝槽128形成在第二材料104中,如圖2E所示。導電材料130沉積進入溝槽204、128,如圖2F所示。第二溝槽128中的導電材料130與第一材料102分開實質上等於側向尺寸L1 的距離。圖2F所示的基板100可為主動閘極結構上方的接點的一部分。Next, as shown in FIG. 2C, a dielectric material 124 is formed on the layer 202, and a trench 204 is formed to expose a portion of the layer 202 disposed on the edge portion 112 and at least a portion of the surface 114 of the second material 104. The trench 204 may be formed by any suitable process, such as dry etching. The layer 202 can function as an etch stop layer for the etching process to form the trench 204. Next, as shown in FIG. 2D, the exposed portion of the layer 202 is removed to expose the edge portion 112 and at least a portion of the surface 114 of the second material 104. The exposed portion of the layer 202 can be removed by etching. The etching process may be a selective etching process, and because the etching rate of the material of the mask 110 is slower than the etching rate of the layer 202, the mask 110 may function as an etching stop layer. The portion of the layer 202 disposed between the edge portion 112 and the surface 118 and/or the surface 114 is protected by the edge portion 112 and is not removed by the etching process. Next, a second trench 128 is formed in the second material 104, as shown in FIG. 2E. The conductive material 130 is deposited into the trenches 204, 128, as shown in Figure 2F. The conductive material 130 in the second trench 128 is separated from the first material 102 by a distance substantially equal to the lateral dimension L 1 . The substrate 100 shown in FIG. 2F may be a part of the contacts above the active gate structure.

總結而言,在由選擇性沉積處理形成的遮罩與基板之間的間隙藉由ALD處理形成的層來填充。此層可由與遮罩相同的材料製造或由與遮罩不同的材料製造。藉由間隙填充,改善電氣路徑。儘管前述關於本發明的實施例,但在不背離本發明的基本範疇可構思出本發明的其他與進一步實施例,且本發明的範疇由之後的申請專利範圍所界定。In summary, the gap between the mask formed by the selective deposition process and the substrate is filled by the layer formed by the ALD process. This layer can be made of the same material as the mask or made of a different material from the mask. Improve the electrical path through gap filling. Although the foregoing embodiments are related to the present invention, other and further embodiments of the present invention can be conceived without departing from the basic scope of the present invention, and the scope of the present invention is defined by the scope of subsequent patent applications.

100:基板 102:第一材料 104:第二材料 106:第三材料 108:SAM 110:遮罩 112:邊緣部分 114:表面 116:表面 118:表面 120:層 122:剩餘部分 124:介電材料 126:第一溝槽 128:第二溝槽 130:導電材料 202:層 204:溝槽100: substrate 102: first material 104: second material 106: third material 108: SAM 110: Mask 112: edge part 114: Surface 116: Surface 118: Surface 120: layer 122: remaining part 124: Dielectric materials 126: The first groove 128: second groove 130: conductive material 202: layer 204: groove

為了可詳細理解本發明的上述特徵,藉由參照實施例,其中某些實施例繪示在隨附圖式中,可獲得簡短總結於上之本發明的更具體的說明。然而,將注意到隨附圖式僅繪示範例實施例且因而不當作限制本發明的範疇,且本發明的範疇可容許其他等效實施例。In order to understand the above features of the present invention in detail, by referring to the embodiments, some of which are shown in the accompanying drawings, a more specific description of the present invention summarized above can be obtained. However, it will be noted that the accompanying drawings only depict exemplary embodiments and are therefore not considered to limit the scope of the present invention, and the scope of the present invention may allow for other equivalent embodiments.

圖1A–1F繪示在形成半導體裝置的不同階段期間之基板的一部分之圖解剖面視圖。1A-1F show diagrammatic cross-sectional views of a portion of a substrate during different stages of forming a semiconductor device.

圖2A–2F繪示在形成半導體裝置的不同階段期間之基板的一部分之圖解剖面視圖。2A-2F are diagrammatic cross-sectional views of a portion of the substrate during different stages of forming the semiconductor device.

為了易於理解,已儘可能使用相同元件符號指代圖式中共通的相同元件。料想一實施例的元件與特徵可有利地併入其他實施例中而不需進一步闡明。For ease of understanding, the same component symbols have been used as much as possible to refer to the same components in the drawings. It is contemplated that the elements and features of one embodiment can be advantageously incorporated into other embodiments without further clarification.

國內寄存資訊 (請依寄存機構、日期、號碼順序註記) 無Domestic hosting information (please note in the order of hosting organization, date and number) no

國外寄存資訊 (請依寄存國家、機構、日期、號碼順序註記) 無Foreign hosting information (please note in the order of hosting country, institution, date and number) no

100:基板 100: substrate

102:第一材料 102: first material

104:第二材料 104: second material

106:第三材料 106: third material

108:SAM 108: SAM

110:遮罩 110: Mask

112:邊緣部分 112: edge part

114:表面 114: Surface

116:表面 116: Surface

118:表面 118: Surface

Claims (20)

一種裝置,包含: 一第一材料,具有一第一表面;一第二材料,具有一第二表面;一遮罩,安置在該第一表面上,該遮罩具有在該第二表面上方延伸的一邊緣部分;及一層,安置在該邊緣部分與該第二表面之間,該層接觸該邊緣部分與該第二表面。A device that includes: A first material having a first surface; a second material having a second surface; a mask disposed on the first surface, the mask having an edge portion extending above the second surface; And a layer disposed between the edge portion and the second surface, and the layer contacts the edge portion and the second surface. 如請求項1所述之裝置,其中該第一材料包含一導電材料,而該第二材料包含一介電材料。The device according to claim 1, wherein the first material includes a conductive material, and the second material includes a dielectric material. 如請求項2所述之裝置,其中該第一材料包含一金屬,而該第二材料包含碳化矽、氧碳化矽、氮化矽、碳化鎢、或氧化鎢。The device according to claim 2, wherein the first material includes a metal, and the second material includes silicon carbide, silicon oxycarbide, silicon nitride, tungsten carbide, or tungsten oxide. 如請求項3所述之裝置,其中該遮罩包含一高k介電材料。The device according to claim 3, wherein the mask includes a high-k dielectric material. 如請求項4所述之裝置,其中該層與該遮罩不同。The device according to claim 4, wherein the layer is different from the mask. 如請求項4所述之裝置,其中該遮罩包含氧化鉿、氧化鋯、氧化鋁、或氧化鈦。The device according to claim 4, wherein the mask comprises hafnium oxide, zirconium oxide, aluminum oxide, or titanium oxide. 如請求項6所述之裝置,其中該層包含氧化鉿、氧化鋯、氧化鋁、或氧化鈦。The device according to claim 6, wherein the layer comprises hafnium oxide, zirconium oxide, aluminum oxide, or titanium oxide. 如請求項1所述之裝置,進一步包含安置在該第一材料與該第二材料之間的一第三材料,其中該層安置在該第三材料的一第三表面上。The device according to claim 1, further comprising a third material disposed between the first material and the second material, wherein the layer is disposed on a third surface of the third material. 一種形成一半導體裝置的方法,該方法包含以下步驟: 藉由一選擇性沉積處理在一第一材料的一第一表面上形成一遮罩,該遮罩具有在一第二材料的一第二表面上方延伸的一邊緣部分,該邊緣部分接觸一自組裝單層;移除該自組裝單層以暴露該第二材料的該第二表面並在該遮罩的該邊緣部分與該第二材料的該第二表面之間形成一間隙;藉由一原子層沉積處理在該遮罩上與該第二材料的暴露的該第二表面上形成一層,該間隙被該層所填充;及移除該層的至少一部分以暴露該第二材料的該第二表面的至少一部分。A method of forming a semiconductor device. The method includes the following steps: A mask is formed on a first surface of a first material by a selective deposition process, the mask has an edge portion extending above a second surface of a second material, and the edge portion contacts a self Assemble the monolayer; remove the self-assembled monolayer to expose the second surface of the second material and form a gap between the edge portion of the mask and the second surface of the second material; by a The atomic layer deposition process forms a layer on the mask and the exposed second surface of the second material, the gap is filled by the layer; and at least a part of the layer is removed to expose the first material of the second material At least part of the two surfaces. 如請求項9所述之方法,其中該層包含與該遮罩相同的材料。The method according to claim 9, wherein the layer contains the same material as the mask. 如請求項10所述之方法,進一步包含以下步驟:在該遮罩上與該第二表面的該部分上形成一介電材料。The method according to claim 10, further comprising the step of: forming a dielectric material on the mask and the portion of the second surface. 如請求項11所述之方法,進一步包含以下步驟:在該介電材料中形成一第一溝槽與在該第二材料中形成一第二溝槽。The method according to claim 11, further comprising the steps of: forming a first trench in the dielectric material and forming a second trench in the second material. 如請求項12所述之方法,進一步包含以下步驟:在該第一溝槽與該第二溝槽中沉積一第一導電材料。The method according to claim 12, further comprising the following steps: depositing a first conductive material in the first trench and the second trench. 如請求項9所述之方法,其中該層包含與該遮罩不同的材料。The method according to claim 9, wherein the layer comprises a different material from the mask. 如請求項14所述之方法,進一步包含以下步驟:在移除該層的該部分之前,在該層上形成一介電材料。The method according to claim 14, further comprising the step of: forming a dielectric material on the layer before removing the part of the layer. 如請求項15所述之方法,進一步包含以下步驟:在移除該層的該部分之前,在該介電材料中形成一第一溝槽以暴露該層的該部分。The method according to claim 15, further comprising the step of: before removing the part of the layer, forming a first trench in the dielectric material to expose the part of the layer. 如請求項16所述之方法,進一步包含以下步驟:在該第二材料中形成一第二溝槽。The method according to claim 16, further comprising the following step: forming a second groove in the second material. 如請求項17所述之方法,進一步包含以下步驟:在該第一溝槽與該第二溝槽中沉積一第二導電材料。The method according to claim 17, further comprising the following steps: depositing a second conductive material in the first trench and the second trench. 如請求項9所述之方法,其中該遮罩包含氧化鉿、氧化鋯、氧化鋁、或氧化鈦。The method according to claim 9, wherein the mask comprises hafnium oxide, zirconium oxide, aluminum oxide, or titanium oxide. 如請求項19所述之方法,其中該第一材料包含鈷或鎢,而該第二材料包含碳化矽、氧碳化矽、氮化矽、碳化鎢、或氧化鎢。The method of claim 19, wherein the first material includes cobalt or tungsten, and the second material includes silicon carbide, silicon oxycarbide, silicon nitride, tungsten carbide, or tungsten oxide.
TW108141342A 2018-11-16 2019-11-14 Method for forming a layer TWI825219B (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201862768573P 2018-11-16 2018-11-16
US62/768,573 2018-11-16

Publications (2)

Publication Number Publication Date
TW202036659A true TW202036659A (en) 2020-10-01
TWI825219B TWI825219B (en) 2023-12-11

Family

ID=70731884

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108141342A TWI825219B (en) 2018-11-16 2019-11-14 Method for forming a layer

Country Status (2)

Country Link
TW (1) TWI825219B (en)
WO (1) WO2020101861A1 (en)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20220415736A1 (en) * 2021-06-23 2022-12-29 Intel Corporation Protective layer for gate cap reinforcement

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100618819B1 (en) * 2004-02-06 2006-08-31 삼성전자주식회사 Semiconductor device attaining improved overlay margin and manufacturing method thereof
US9159802B2 (en) * 2012-05-14 2015-10-13 Taiwan Semiconductor Manufacturing Company, Ltd. MOS devices with mask layers and methods for forming the same
US20170092533A1 (en) * 2015-09-29 2017-03-30 Applied Materials, Inc. Selective silicon dioxide deposition using phosphonic acid self assembled monolayers as nucleation inhibitor
CN111628101A (en) * 2015-10-26 2020-09-04 Oti照明公司 Method for patterning a surface overlayer and device comprising a patterned overlayer
CN117334560A (en) * 2016-03-03 2024-01-02 应用材料公司 Improved self-assembled monolayer blocking with intermittent air-water exposure

Also Published As

Publication number Publication date
WO2020101861A1 (en) 2020-05-22
TWI825219B (en) 2023-12-11

Similar Documents

Publication Publication Date Title
TWI503863B (en) Semiconductor structures including tight pitch contacts and methods to form same
US7759239B1 (en) Method of reducing a critical dimension of a semiconductor device
KR20150106376A (en) Method of forming self-aligned contacts using a replacement metal gate process in a semiconductor device
TW202027223A (en) Method of forming semiconductor device
US11557661B2 (en) Method for manufacturing semiconductor device
US10410913B2 (en) Multi-layer metal contacts
TW201926548A (en) Method for forming semiconductor structure
US9564371B2 (en) Method for forming semiconductor device
US9748138B2 (en) Metal layer end-cut flow
TW201807746A (en) Methods of recessing a gate structure using oxidizing treatments during a recessing etch process
US9324577B2 (en) Modified self-aligned contact process and semiconductor device
US11094589B2 (en) Multicolor self-aligned contact selective etch
CN106610562B (en) Mask layout and method for forming semiconductor structure
CN109559978B (en) Semiconductor structure and forming method thereof
TWI825219B (en) Method for forming a layer
US10403732B2 (en) Semiconductor device including stripe structures
US10957590B2 (en) Method for forming a layer
TWI576959B (en) Method of forming semiconductor structure having contact plug
TW202114068A (en) Method for forming semiconductor device
CN112750773A (en) Method for producing gate and source/drain via connections for contact transistors
JP2002050702A (en) Semiconductor device
US10008408B2 (en) Devices and methods of forming asymmetric line/space with barrierless metallization
US20220301932A1 (en) Self-aligned cut-metal layer method and device
KR20070001590A (en) Method for forming recessed gate of semiconductor device
US10170310B1 (en) Method of forming patterned structure