JP2020145436A - 断続的な空気−水暴露による自己組織化単分子膜のブロッキングの改良 - Google Patents

断続的な空気−水暴露による自己組織化単分子膜のブロッキングの改良 Download PDF

Info

Publication number
JP2020145436A
JP2020145436A JP2020072029A JP2020072029A JP2020145436A JP 2020145436 A JP2020145436 A JP 2020145436A JP 2020072029 A JP2020072029 A JP 2020072029A JP 2020072029 A JP2020072029 A JP 2020072029A JP 2020145436 A JP2020145436 A JP 2020145436A
Authority
JP
Japan
Prior art keywords
substrate
sam
acid
tris
dimethylamino
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2020072029A
Other languages
English (en)
Other versions
JP7043539B2 (ja
Inventor
トービン コーフマン−オズボーン,
Kaufman-Osborn Tobin
トービン コーフマン−オズボーン,
キース タットスン ウォン,
Keith Tatseun WONG
キース タットスン ウォン,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2020145436A publication Critical patent/JP2020145436A/ja
Application granted granted Critical
Publication of JP7043539B2 publication Critical patent/JP7043539B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02307Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a liquid
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/32Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02304Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment formation of intermediate layers, e.g. buffer layers, layers to improve adhesion, lattice match or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02494Structure
    • H01L21/02496Layer structure
    • H01L21/02499Monolayers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76849Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

【課題】自己組織化単分子膜(SAM)をマスク材料として利用して、その後の材料堆積の選択性を改善する。【解決手段】露出した第1の材料216および露出した第2の材料218を含む基板210を自己組織化単分子膜(SAM)分子に曝して、第1の材料上にSAMの選択的堆積を達成する。基板がヒドロキシル部分に曝され、基板をSAM分子に曝すことと、基板をヒドロキシル部分に曝すことが、それぞれ約1:1〜約100:1の時間比で繰り返される。繰り返しを実行した後、基板はSAM分子に曝され、第3の材料280が、露出した第2の材料上に選択的に堆積され、SAMが第1の材料から除去される。【選択図】図2C

Description

[0001]本明細書に記載されている実施形態は、一般に、自己組織化単分子膜を用いて選択的領域堆積を達成する半導体デバイスの製造プロセスに関する。
[0002]サブハーフミクロンおよびより小さなフィーチャを高信頼度で製造することは、半導体デバイスの次世代の超大規模集積(VLSI)および超々大規模集積(ULSI)のための重要な技術課題の1つである。しかしながら、回路技術の限界が押し進められるにつれて、VLSIおよびULSI技術の縮小する寸法は、処理能力に対するさらなる要求を課している。
[0003]次世代デバイスの回路密度が増加するにつれて、ビア、トレンチ、コンタクト、ゲート構造および他のフィーチャなどの相互接続部、ならびにそれらの間の誘電体材料の幅は、45nmおよび32nmおよびそれ以下の寸法に減少する。次世代デバイスおよび構造の製造を可能にするために、半導体チップ内のフィーチャの3次元(3D)積層が、しばしば利用される。特に、半導体チップに3次元(3D)構造を形成するために、フィン電界効果トランジスタ(FinFET)が、しばしば利用される。従来の2次元ではなく3次元でトランジスタを配置することにより、集積回路(IC)内に互いに非常に近接して多数のトランジスタを配置することができる。回路密度および積層が増加するにつれて、以前に堆積された材料上にその後の材料を選択的に堆積させることができることが、ますます望まれるようになる。
[0004]自己組織化単分子膜(SAM)をマスク材料として利用して、その後の材料堆積の選択性を改善することができる。SAMは、一般に表面の化学的性質に依存し、様々な材料上に選択的に形成され得る。しかしながら、SAMは、その後の材料層の堆積を効果的に防止できないピンホールまたはボイドを、堆積層内に示すことがある。SAMの別の欠点は、堆積時間が非常に長く、半導体製造プロセスにおいて経済的に実現可能でないことである。
[0005]したがって、SAM形成のための改善された方法の必要性が存在する。
[0006]一実施形態において、基板を処理する方法が提供される。この方法は、露出した第1の材料および露出した第2の材料を含む基板を自己組織化単分子膜(SAM)分子に曝して、第1の材料上にSAMの選択的堆積を達成することを含む。基板がヒドロキシル部分に曝され、基板をSAM分子に曝すことと、基板をヒドロキシル部分に曝すことが、それぞれ約1:1〜約100:1の時間比で繰り返され得る。繰り返しを実行した後、基板はSAM分子に曝され、第3の材料が、露出した第2の材料上に選択的に堆積され、SAMが第1の材料から除去され得る。
[0007]別の実施形態において、基板を処理する方法が提供される。この方法は、露出した第1の材料および露出した第2の材料を含む基板を自己組織化単分子膜(SAM)分子に曝して、第1の処理チャンバ内で第1の材料上にSAMの選択的堆積を達成することを含む。基板は、第2の処理チャンバに移送されて、第2の処理チャンバ内で水蒸気から形成されたヒドロキシル部分に曝され得る。第1の処理チャンバ内でSAM分子に基板を曝すことと、第2の処理チャンバ内でヒドロキシル部分に基板を曝すことが、それぞれ約1:1〜約100:1の時間比で繰り返され得る。繰り返しを実行した後、基板は、第1の処理チャンバ内でSAM分子に曝され、第3の材料が、露出した第2の材料上に選択的に堆積され、SAMが第1の材料から除去され得る。
[0008]さらに別の実施形態において、基板を処理する方法が提供される。この方法は、露出した第1の材料および露出した第2の材料を含む基板を自己組織化単分子膜(SAM)分子に曝して、処理チャンバ内で第1の材料上にSAMの選択的堆積を達成することを含む。基板は、周囲空気環境に移送され、周囲空気環境で周囲空気から形成されたヒドロキシル部分に曝され得る。処理チャンバ内でSAM分子に基板を曝すことと、周囲空気環境内でヒドロキシル部分に基板を曝すことが、それぞれ約1:1〜約100:1の時間比で繰り返され得る。繰り返しを実行した後、基板は、処理チャンバ内でSAM分子に曝され、第3の材料が、露出した第2の材料上に選択的に堆積され、SAMが第1の材料から除去され得る。
[0009]本開示の上記の特徴が詳細に理解できるように、実施形態を参照することにより、上記で簡潔に要約された本開示のより詳細な説明を得ることができ、実施形態のいくつかが、添付の図面に示される。しかしながら、添付の図面は、例示的な実施形態のみを示しており、したがって、その範囲を限定するものと見なすべきではなく、他の同様に有効な実施形態を認めることができることに、留意されたい。
本明細書に記載の実施形態による、SAM材料を用いた選択的堆積の方法を示すフロー図を示す。 本明細書に記載された実施形態に従って処理されたワークピースの概略的な断面図を示す。 本明細書に記載された実施形態に従って処理されたワークピースの概略的な断面図を示す。 本明細書に記載された実施形態に従って処理されたワークピースの概略的な断面図を示す。 本明細書に記載された実施形態に従って処理されたワークピースの概略的な断面図を示す。
[0012]理解を容易にするため、図面に共通の同一の要素を示すのに、可能な限り、同一の参照番号を使用している。一つの実施形態の要素および特徴は、さらなる説明なしに他の実施形態に有益に組み込むことができることが、予期されている。
[0013]以下の開示は、選択的堆積を達成するために自己組織化単分子膜が使用される半導体デバイスの製造プロセスを記載する。特定の細部が、本開示の様々な実施形態の完全な理解を提供するために、以下の説明ならびに図1および図2A〜図2Bに示されている。様々な実施形態の説明を不必要に不明瞭にすることを避けるために、半導体デバイスおよび自己組織化単分子膜にしばしば関連する構造およびシステムを説明する他の細部は、以下の開示に記載されていない。
[0014]図面に示されている細部、寸法、角度および他の特徴の多くは、単に特定の実施形態を説明しているにすぎない。したがって、他の実施形態は、本開示の精神または範囲から逸脱することなく、他の細部、構成要素および特徴を有することができる。さらに、本開示のさらなる実施形態は、以下に記載されるいくつかの細部なしで実施され得る。
[0015]本明細書で使用される場合、「自己組織化単分子膜」(「SAM」)は、一般に、表面に付着(例えば、化学結合によって)され、その表面に対して、さらには互いに対しても好ましい配向をとった分子の層を指す。SAMは、両親媒性分子の組織化された層を、典型的には含み、分子の一端で「頭部基」が、基板に対して特異的で可逆的な親和性を示す。頭部基の選択は、SAMの用途に依存し、SAM化合物の種類は、利用される基板に基づく。一般に、頭部基は、例えば濡れ性および界面特性を変えるように尾部または「末端」が官能基化され得るアルキル鎖またはフッ素化アルキル鎖に、接続されている。SAMを形成する分子は、別の材料よりもある材料に選択的に付着し(例えば、金属対誘電体)、十分な密度であれば、後続の堆積を上手く操作して、SAMでコーティングされていない材料上への選択的堆積を可能にすることができる。
[0016]既存の技術は、一般に、金属堆積を操作するのに十分な高密度のSAM膜を形成するために、SAM堆積に少なくとも6時間を使用する。既存の技術は、一般に、金属酸化物を操作するために、SAM膜堆積に少なくとも48時間を使用する。現在の気相堆積システムは、加熱されたSAM分子溶液の蒸気圧のみを用いて非常に低い圧力(例えば、2ミリトル)でSAM分子を供給し、化学物質を基板に曝す。この低い蒸気圧により、気相中の濃度が低くなり、高密度の形成のために48時間を要する。従って、ピンホールのない高密度の高品質なSAM膜を形成するには、かなりの時間を要する。SAMの形成時間が短すぎると、SAMは、多数のピンホールを含み、そこを通って堆積が起こり得る。
[0017]本明細書に記載されたいくつかの実施形態では、ピンホール形成が低減された高密度SAM膜の形成速度を増加させる方法が、提供される。本明細書に記載されたいくつかの実施形態では、形成されたピンホールを操作するために、形成されたSAM膜を処理する方法も、提供される。両方とも、ピンホールが減少したSAM膜の形成を可能にする。
[0018]図1は、本明細書に記載の実施形態による、SAM膜を用いた選択的堆積の方法100を示すフローチャートである。方法100は、製造プロセス中にワークピースに対して実行される。方法100は、後でより詳細に説明する図2A〜図2Dに示される一連の製造段階に示されるような構造を形成するために使用されてもよい。図2A〜図2Dは、基板上に形成されたデバイス構造の製造ステップの断面概略図を示す。図1は、特定の構造を参照して記載されているが、特定の構造の参照は単なる例示であり、図1に記載されたプロセスは、ピンホール形成を減少させて、複数の材料を含む基板上に膜を選択的に堆積させることが望ましい任意のプロセスに適用可能である、ということを理解されたい。
[0019]工程110において、少なくとも露出した第1の材料および露出した第2の材料を有する基板が、SAM堆積のために準備される。基板は、図2A〜図2Dに示す基板210と同様であってもよい。一実施形態では、基板210は、結晶シリコン(例えば、Si<100>またはSi<111>)、酸化ケイ素、歪みシリコン、シリコンゲルマニウム、ドープされたまたはドープされていないポリシリコン、ドープされたまたはドープされていないシリコンウェハ、パターニングされたまたはパターニングされていないウェハ、シリコンオンインシュレータ(SOI)、炭素がドープされた酸化ケイ素、窒化ケイ素、ドープされたシリコン、ゲルマニウム、ヒ化ガリウム、ガラス、サファイア、およびこれらの組み合わせなどの材料を含んでよい。基板210は、円形基板の場合、200mm、300mm、450mmまたは他の直径などの様々な寸法を有することができる。基板210はまた、フラットパネルディスプレイの製造に使用される多角形ガラス基板などの、任意の多角形の、正方形の、長方形の、曲線状のまたは他の非円形のワークピースであってもよい。特に明記しない限り、本明細書に記載されている実施形態および実施例は、直径200mm、直径300mm、または直径450mmの基板上で実施される。
[0020]基板210は、第1の材料216(例えば、誘電体材料)から形成されたフィーチャ212を含むことができる。フィーチャ212は、例えば、トレンチ、ビア、ホール、開口部、ラインなど、およびそれらの組み合わせを含むことができる。フィーチャ212は、図2Aに示すように、基板210上に配置された第2の材料218(例えば、導電性材料)で充填された開口部214を有する。第1の材料216および第2の材料218が両方とも誘電体材料であってもよいことを理解されたい。例えば、第1の材料216が、酸化ケイ素層であってもよく、第2の材料218が、窒化ケイ素層であってもよい。
[0021]SAM膜の堆積の準備において、基板210は、その後の工程のSAM膜形成プロセスに先立って、任意選択の前洗浄プロセスに曝されてもよい。前洗浄プロセスは、露出した表面から自然酸化物、汚染物質、またはその両方を除去することができる任意の前洗浄プロセスであってよい。前洗浄プロセスは、ドライ化学洗浄プロセス、ウェット化学洗浄プロセス、またはその両方であってもよい。前洗浄プロセスは、ドライエッチングプロセスを実行するように適合された遠隔プラズマ洗浄またはインシトゥプラズマ洗浄であってもよい。1つの例示的なドライ洗浄プロセスは、カリフォルニア州サンタクララのアプライドマテリアルズ社(Applied Materials,Inc.,Santa Clara,CA)から入手可能なSICONI(商標)Pre−cleanプロセスであり、NFおよびNHを使用する低温2要素ドライ化学洗浄プロセスによって自然酸化物を除去する。他の製造業者からの他の適切に構成された洗浄プロセスもまた、本明細書に記載された実施形態に従って有利に実施され得ることが企図される。
[0022]工程120において、基板210は、図2Bに示すように、第2の材料218の表面224上の吸着が最小限または全くない状態で、第1の材料216の表面222上へのSAM前駆体の選択的吸着を達成するために、SAM前駆体に曝される。使用される材料および使用されるSAM前駆体に依存して、SAM前駆体は、溶液ベースの前駆体または気体前駆体であってもよい。SAM前駆体は、1種以上のSAM分子230、SAM分子230を形成する前駆体、またはその両方を含むことができる。吸着されたSAM分子230は、SAM240を形成する。
[0023]SAM240は、両親媒性であり得るSAM分子230の組織化された層を含み、分子の一端で頭部基232が、フィーチャ212の第1の材料216に対して特異的で可逆的な親和性を示す。典型的には、頭部基232は、末端「R」234が官能基化され得るアルキル鎖に接続されている。SAM240は、フィーチャ212の第1の材料216上への頭部基232の化学吸着と、続いて疎水性の尾部基の2次元の組織化とによって、形成される。
[0024]本明細書に記載された実施形態に従って利用され得る適切なSAM分子230の例には、以下に記載される材料(それらの組み合わせ、混合物およびグラフトを含む)、ならびに半導体製造プロセスにおいて次に堆積される材料の堆積をブロックするのに適した特徴を有する他のSAM分子が含まれる。一実施形態では、SAM分子230は、メチルカルボン酸、エチルカルボン酸、プロピルカルボン酸、ブチルカルボン酸、ペンチルカルボン酸、ヘキシルカルボン酸、ヘプチルカルボン酸、オクチルカルボン酸、ノニルカルボン酸、デシルカルボン酸、ウンデシルカルボン酸、ドデシルカルボン酸、トリデシルカルボン酸、テトラデシルカルボン酸、ペンタデシルカルボン酸、ヘキサデシルカルボン酸、ヘプタデシルカルボン酸、オクタデシルカルボン酸、およびノナデシルカルボン酸などのカルボン酸材料であってもよい。
[0025]一実施形態では、SAM分子230は、メチルホスホン酸、エチルホスホン酸、プロピルホスホン酸、ブチルホスホン酸、ペンチルホスホン酸、ヘキシルホスホン酸、ヘプチルホスホン酸、オクチルホスホン酸、ノニルホスホン酸、デシルホスホン酸、ウンデシルホスホン酸、ドデシルホスホン酸、トリデシルホスホン酸、テトラデシルホスホン酸、ペンタデシルホスホン酸、ヘキサデシルホスホン酸、ヘプタデシルホスホン酸、オクタデシルホスホン酸、およびノナデシルホスホン酸などのホスホン酸材料であってもよい。
[0026]別の実施形態では、SAM分子230は、メタンチオール、エタンチオール、プロパンチオール、ブタンチオール、ペンタンチオール、ヘキサンチオール、ヘプタンチオール、オクタンチオール、ノナンチオール、デカンチオール、ウンデカンチオール、ドデカンチオール、トリデカンチオール、テトラデカンチオール、ペンタデカンチオール、ヘキサデカンチオール、ヘプタデカンチオール、オクタデカンチオール、およびノナデカンチオールなどのチオール材料であってもよい。
[0027]別の実施形態では、SAM分子230は、トリス(ジメチルアミノ)メチルシラン、トリス(ジメチルアミノ)エチルシラン、トリス(ジメチルアミノ)プロピルシラン、トリス(ジメチルアミノ)ブチルシラン、トリス(ジメチルアミノ)ペンチルシラン、トリス(ジメチルアミノ)ヘキシルシラン、トリス(ジメチルアミノ)ヘプチルシラン、トリス(ジメチルアミノ)オクチルシラン、トリス(ジメチルアミノ)ノニルシラン、トリス(ジメチルアミノ)デシルシラン、トリス(ジメチルアミノ)ウンデシルシラン、トリス(ジメチルアミノ)ドデシルシラン、トリス(ジメチルアミノ)トリデシルシラン、トリス(ジメチルアミノ)テトラデシルシラン、トリス(ジメチルアミノ)ペンタデシルシラン、トリス(ジメチルアミノ)ヘキサデシルシラン、トリス(ジメチルアミノ)ヘプタデシルシラン、トリス(ジメチルアミノ)オクタデシルシラン、およびトリス(ジメチルアミノ)ノナデシルシランなどのシリルアミン材料であってもよい。
[0028]別の実施形態では、SAM分子230は、メチルトリクロロシラン、エチルトリクロロシラン、プロピルトリクロロシラン、ブチルトリクロロシラン、ペンチルトリクロロシラン、ヘキシルトリクロロシラン、ヘプチルトリクロロシラン、オクチルトリクロロシラン、ノニルトリクロロシラン、デシルトリクロロシラン、ウンデシルトリクロロシラン、ドデシルトリクロロシラン、トリデシルトリクロロシラン、テトラデシルトリクロロシラン、ペンタデシルトリクロロシラン、ヘキサデシルトリクロロシラン、ヘプタデシルトリクロロシラン、オクタデシルトリクロロシラン、およびノナデシルトリクロロシランなどのクロロシラン材料であってもよい。
[0029]別の実施形態では、SAM分子230は、メチルトリメトキシシラン、メチルトリエトキシシラン、エチルトリメトキシシラン、エチルトリエトキシシラン、プロピルトリメトキシシラン、プロピルトリエトキシシラン、ブチルトリメトキシシラン、ブチルトリエトキシシラン、ペンチルトリメトキシシラン、ペンチルトリエトキシシラン、ヘキシルトリメトキシシラン、ヘキシルトリエトキシシラン、ヘプチルトリメトキシシラン、ヘプチルトリエトキシシラン、オクチルトリメトキシシラン、オクチルトリエトキシシラン、ノニルトリメトキシシラン、ノニルトリエトキシシラン、デシルトリメトキシシラン、デシルトリエトキシシラン、ウンデシルトリメトキシシラン、ウンデシルトリエトキシシラン、ドデシルトリメトキシシラン、ドデシルトリエトキシシラン、トリデシルトリメトキシシラン、トリデシルトリエトキシシラン、テトラデシルトリメトキシシラン、テトラデシルトリエトキシシラン、ペンタデシルトリメトキシシラン、ペンタデシルトリエトキシシラン、ヘキサデシルトリメトキシシラン、ヘキサデシルトリエトキシシラン、ヘプタデシルトリメトキシシラン、ヘプタデシルトリエトキシシラン、オクタデシルトリメトキシシラン、オクタデシルトリエトキシシラン、ノナデシルトリメトキシシラン、およびノナデシルトリエトキシシランなどのオキシシラン材料であってもよい。
[0030]別の実施形態では、SAM分子230は、(1,1,2,2−パーフルオロデシル)トリクロロシラン、トリクロロ(1,1,2,2−パーフルオロオクチル)シラン、(トリデカフルオロ−1,1,2,2−テトラヒドロオクチル)トリクロロシラン、(トリデカフルオロ−1,1,2,2−テトラヒドロオクチル)トリエトキシシラン、(トリデカフルオロ−1,1,2,2−テトラヒドロオクチル)メチルジクロロシラン、(トリデカフルオロ−1,1,2,2−テトラヒドロオクチル)ジメチルクロロシラン、および(ヘプタデカフルオロ−1,1,2,2−テトラヒドロデシル)トリクロロシランなどのフッ素化されたR基を有してもよい。
[0031]SAM吸着は、SAM分子230を含む希薄溶液中に基板210を浸すことによって、溶液から生じることができる。一実施形態では、SAM240は、スピンコーティングによって溶液から堆積される。SAM吸着は、基板210を気体前駆体に曝すことによって、気相堆積からも生じることができる。吸着された分子は、最初に、無秩序な分子の集まりを形成し、次いで、フィーチャ212の第1の材料216上に結晶または半結晶構造を形成し始める。SAM240の厚さは、SAM分子230のアルキル鎖の炭素鎖長を調節することによって調整することができる。一般に、SAM240は、SAM分子230との化学反応能力を有する表面上に形成されてもよい。
[0032]一実施形態では、SAM吸着は、気相堆積プロセスであってもよい。この実施形態において、SAM分子は、約25℃〜約300℃、例えば約125℃〜約200℃の温度に維持されたアンプル中で気化させることができる。基板210は、約25℃〜約400℃、例えば約50℃〜約200℃、例えば約100℃〜約175℃の温度に維持することができる。処理チャンバの処理容積部などの基板処理環境の圧力は、約1ミリトル〜約1520トル、例えば約5トル〜約600トルの圧力に維持することができる。キャリアガスが、気相SAM分子の送達を容易にするために利用されてもよく、キャリアガスは、処理チャンバの容積に応じて、約25sccm〜約3000sccm、例えば約50sccm〜約1000sccmの流量で供給されてもよい。適切なキャリアガスは、基板表面へのSAM分子の送達を容易にするSAM吸着条件下で一般に不活性である希ガスなどのガスを含む。工程130において、約1秒〜約48時間、例えば、約1分〜約120分の時間、SAM分子が基板210に曝されてもよい。
[0033]図2Bに示された実施形態において、SAM240を形成するために利用されるSAM前駆体は、第2の材料218(例えば、導電性材料)の表面224ではなく、フィーチャ212(例えば、酸化ケイ素材料)の表面222と化学的に反応するように選択される。このようにすることによって、SAM240は、基板210上のフィーチャ212上に主に形成され、第2の材料218の表面224を、SAM240がないままにしておくことができる。
[0034]工程130において、基板210は、ヒドロキシル部分に曝されてもよい。ヒドロキシル部分は、−OH官能基を有するかまたは表面222もしくはSAM分子230上での−OH官能基の形成を促進する材料であってもよい。理論に縛られることを意図するものではないが、表面222および/またはSAM分子230の反応部位のヒドロキシル化は、ピンホールが減少または除去された密集したSAM240の形成を促進し得ると考えられる。ヒドロキシル化は、立体障害を引き起こす反応部位ブロッキングリガンドの分布を減少させる可能性があると考えられている。その結果、SAM分子230は、密集した配向で表面222上により容易に吸着することができる。例えば、ヒドロキシル化は、表面222上にすでに存在するSAMリガンドの重合に選択的に表面222上へのSAM分子の吸着を促進すると考えられる。
[0035]代替的な実施形態では、基板210は、工程120でヒドロキシル部分に曝され、次に工程130でSAM前駆体に曝されてもよい。別の同様の実施形態では、基板210は、工程120においてヒドロキシル部分に曝されるのと同時に、工程130においてSAM前駆体に曝されてもよい。
[0036]他の実施形態では、SAM前駆体およびヒドロキシル部分は、交互にパルス状にされる。さらに、SAM前駆体およびヒドロキシル部分は、パルス状または連続的に一緒に流されてもよい。一実施形態では、SAM前駆体およびヒドロキシル部分は、交互の蒸気暴露工程で基板210をソークする。例えば、SAM前駆体が、チャンバに供給され、チャンバが、ある時間の間加圧され、その後、SAM前駆体が、チャンバから排気される。続いて、ヒドロキシル部分が、チャンバに供給され、チャンバが、ある時間の間加圧され、その後、ヒドロキシル部分が、チャンバから排気される。これらの実施形態では、基板210は、SAM/ヒドロキシルに交互にソークされる。別の実施形態では、SAM前駆体とヒドロキシル部分とが、同時にチャンバに供給され、チャンバが加圧されて、ある時間の間、基板210をSAM/ヒドロキシル環境にソークさせ、その後、チャンバからSAM/ヒドロキシルを排気する。さらに別の実施形態では、基板210は、SAM前駆体に曝され、続いて周囲空気に曝される。
[0037]上述のパルスおよびソークの実施形態は、所望の実施形態に応じて、様々な持続時間で実行することができる。パルスおよび/またはソークの持続時間は、方法の進行に応じて変化し得ることが予期されている。例えば、方法100の開始時のパルス/ソーク持続時間は、方法100の終了付近のパルス/ソーク持続時間と比べて長くてもよい。SAM前駆体/ヒドロキシル部分の暴露持続時間の任意の組合せと任意のソーク/パルス持続時間とを一緒に利用して、ピンホールが減少または除去されたSAM堆積を得ることができると考えられる。
[0038]適切なヒドロキシル部分前駆体の例には、周囲空気、水溶液または水蒸気、過酸化水素溶液または蒸気、有機アルコール溶液または蒸気、例えばメタノール、イソプロパノール、エタノールおよびジオール等が含まれる。水素ガスおよび酸素ガスを組み合わせて使用して、ヒドロキシル部分を形成することもできる。他の非ヒドロキシル部分前駆体もまた、本明細書に記載された実施形態に従って利用され得ることが企図される。非ヒドロキシル部分前駆体は、窒素ガス、(ジ)イソシアネート、硫化水素、およびアンモニア等を含むことができる。
[0039]工程130における、ヒドロキシル部分への基板210の暴露は、工程120における、SAM分子への基板210の暴露の後に、引き続いて行うことができる。あるいは、工程130における、ヒドロキシル部分への基板210の暴露は、工程120における、SAM分子への基板210の暴露と同時に、行われてもよい。
[0040]一実施形態では、工程120において基板210をSAM分子に曝した後、工程130において、基板210が、周囲空気に曝されてもよい。この実施形態では、工程130中、約1ミリトル〜約1520トルの圧力を有する処理環境において、基板210の温度を、約25℃〜約400℃の温度に維持することができる。基板210は、約30秒〜約600秒の間、周囲空気に曝されてもよい。この実施形態では、周囲空気暴露は、大気圧までポンプで空気を入れた真空チャンバ内で実行されてもよいし、または基板210が、真空処理チャンバ環境から取り出されて、ほぼ大気圧の周囲空気中に維持されてもよい。
[0041]他の実施形態では、工程120において基板210をSAM分子に曝した後、工程130において、基板210が、液体水または水蒸気に曝されてもよい。この実施形態では、基板210は、約1秒〜約600秒の間、液体水または水蒸気に曝されてもよい。液体水暴露の実施形態では、約25ml〜約50ml(300mm基板の場合)などの適切な量の水が、促進すべき処理環境に供給されてもよい。水は、ヒドロキシル化が起こるのに十分な時間が経過した後、処理環境から除去されてもよい。
[0042]水蒸気の実施形態では、基板210の温度が、約20℃〜約400℃に維持され、処理環境の圧力が、約2トル〜約1520トルに維持されてもよい。
[0043]工程130のヒドロキシル部分への暴露は、工程120のSAM分子への基板210の暴露と同じ処理環境で行われてもよい。あるいは、ヒドロキシル部分への暴露は、基板210をSAM分子に曝すために利用される処理環境とは異なる処理環境で行われてもよい。例えば、クラスタツールを利用することができ、第1の処理チャンバで工程120を実行し、第2の処理チャンバで工程130を実行することができる。基板210は、ある実施形態では真空下で第1および第2の処理チャンバ間を移送されてもよいし、または所望の処理条件(すなわち水蒸気または周囲空気暴露)に依存して、ほぼ大気圧で第1および第2の処理チャンバ間を移送されてもよい。
[0044]工程140において、任意選択で、工程120および工程130が、逐次的または同時に繰り返されてもよい。例えば、工程120および工程130が、約1回〜約500回繰り返されてもよい。一実施形態では、工程120の1回目が実行され、工程130の1回目が実行され、工程120の2回目が実行されてもよい。この実施形態において、工程は、逐次的に実行されてもよい。他の実施形態において、工程120および工程130は、約5回〜約50回繰り返されてもよい。この実施形態では、SAM分子230への基板210の暴露が、工程150の直前に行われるように、工程140の後に、追加の工程120が行われてもよい。
[0045]工程120と工程130が逐次的に繰り返される特定の実施形態において、工程120と工程130の時間比は、工程120:工程130が約1:1と、工程120:工程130が約100:1との間、例えば工程120:工程130が約10:1であってもよい。この実施形態において、工程120が、約1分間〜約10分間、実行され、工程130が、約1分間〜約10分間、実行されてもよい。工程140の後に、追加の工程120が、工程140の周期的な暴露プロセスの各々の間に工程120が実行された時間に実質的に等しい時間の間実行されてもよいが、追加の工程120は、より長い時間またはより短い時間、有利に実行されてもよいことが、予期される。例えば、上述の実施形態において、追加の工程120は、約1分間〜約10分間、例えば約5分間、実行されてもよい。
[0046]周期的なSAM分子およびヒドロキシル部分への暴露は、改善された材料ブロッキング特性(すなわち、ピンホールの減少)を有するSAMを提供し得ると、考えられている。例えば、周期的なヒドロキシル部分暴露を利用して処理されたSAMは、周期的なヒドロキシル部分暴露を利用して処理されなかったSAM(約100°の水接触角)と比べて、水接触角の増加を示した(すなわち、110°)。これは、改良されたブロッキング性能を示す。
[0047]工程150において、選択された前駆体を有する、表面条件に対して非常に敏感なプロセスである堆積プロセスが、実行されて、図2Cに示すように、第2の材料218の表面224上に選択的に構造260を形成する。構造280は、例えば、プラズマCVD(PE−CVD)、パルスCVD、減圧CVD(LPCVD)などの化学気相堆積(CVD)、エピタキシャル成長、スパッタリングまたは蒸発などの物理的気相堆積(PVD)、原子層堆積(ALD)、電気メッキ、他の技術、またはそれらの組み合わせを含む種々の技術によって形成することができる。堆積されるように選択された材料は、基板210の表面特性によって影響され得る。構造280の厚さは、材料および形成される特定のデバイスに依存して変化する。SAM240は、第1の材料216の表面222上への材料の堆積を防止する。このようにして、選択的堆積プロセスは、異なる材料を基板上の異なる位置に選択的に堆積させることができる。
[0048]一実施形態では、堆積プロセスは、ALDプロセスである。ALDプロセスは、表面条件に敏感であるので、ALDは、基板の特定の領域上への材料の選択的堆積に適している。ALDプロセスは、自己停止/制御成長を伴うCVDプロセスである。ALDプロセスは、わずか数オングストロームまたは単層レベルの厚さをもたらす。ALDプロセスは、化学反応を、サイクルで繰り返される2つの別々の半反応に配分することによって制御される。ALDプロセスによって形成される材料の厚さは、反応サイクルの数に依存する。第1の反応により、分子層の第1の原子層が、基板上に吸収され、第2の反応により、分子層の第2の原子層が、第1の原子層上に吸収される。このように、材料の秩序付けられた構造が、材料層の成長のためのテンプレートとして働く。
[0049]工程150の堆積プロセスに続いて、工程160において、SAM240は、第1の材料212の表面222から除去される。SAM240は、構造280にも第1の材料216の表面222にも悪影響を及ぼさない任意のプロセスによって除去することができる。SAM240を除去するプロセスは、SAM分子230の末端基および頭部基の選択の結果である。SAM240は、第1の材料216の表面222からSAMを離すための、ウェットエッチングプロセス、ドライエッチングプロセス、高温アニールプロセス(例えば、300℃超)によって除去することができる。工程160に続いて、半導体および他のデバイスのフィーチャを製造するために、追加の処理工程が、実行されてもよい。
[0050]上記は、本開示の実施形態に向けられているが、本開示の基本的な範囲から逸脱することなく、本開示の他のさらなる実施形態を考え出すこともでき、本開示の範囲は、以下の特許請求の範囲によって決定される。

Claims (20)

  1. 基板を処理する方法であって、
    露出した第1の材料および露出した第2の材料を含む基板を、自己組織化単分子膜(SAM)分子に曝して、第1の材料上へのSAMの選択的堆積を達成することと、
    前記基板をヒドロキシル部分に曝すことと、
    前記基板をSAM分子に前記曝すことと、前記基板をヒドロキシル部分に前記曝すこととを、約1:1から約100:1の間の時間比で繰り返すことと、
    前記繰り返すことを行った後に、前記基板を前記SAM分子に曝すことと、
    前記露出した第2の材料上に第3の材料を選択的に堆積させることと、
    前記第1の材料から前記SAMを除去することと
    を含む方法。
  2. ヒドロキシル部分前駆体が、周囲空気、水溶液、水蒸気、過酸化水素溶液、過酸化水素蒸気、有機アルコール溶液、および有機アルコール蒸気からなる群から選択される、請求項1に記載の方法。
  3. 前記ヒドロキシル部分前駆体が、水溶液、水蒸気、および周囲空気からなる群から選択される、請求項2に記載の方法。
  4. ヒドロキシル部分前駆体が、水蒸気である、請求項1に記載の方法。
  5. ヒドロキシル部分前駆体が、周囲空気である、請求項1に記載の方法。
  6. 前記基板をSAM分子に前記曝すことと、前記基板をヒドロキシル部分に前記曝すことの前記時間比が、約10:1である、請求項1に記載の方法。
  7. 前記繰り返すことの後に行われる前記基板を前記SAM分子に前記曝すことが、前記基板をSAM分子に前記曝すことが、前記繰り返すことの1サイクル中に行われた時間と実質的に等しい時間の間、行われる、請求項1に記載の方法。
  8. 前記SAM分子が、カルボン酸材料、ホスホン酸材料、チオール材料、シリルアミン材料、クロロシラン材料、オキシシラン材料、およびそれらの組み合わせからなる群から選択される、請求項1に記載の方法。
  9. 前記ホスホン酸材料が、メチルホスホン酸、エチルホスホン酸、プロピルホスホン酸、ブチルホスホン酸、ペンチルホスホン酸、ヘキシルホスホン酸、ヘプチルホスホン酸、オクチルホスホン酸、ノニルホスホン酸、デシルホスホン酸、ウンデシルホスホン酸、ドデシルホスホン酸、トリデシルホスホン酸、テトラデシルホスホン酸、ペンタデシルホスホン酸、ヘキサデシルホスホン酸、ヘプタデシルホスホン酸、オクタデシルホスホン酸、ノナデシルホスホン酸、およびそれらの組み合わせからなる群から選択される、請求項8に記載の方法。
  10. 前記チオール材料が、メタンチオール、エタンチオール、プロパンチオール、ブタンチオール、ペンタンチオール、ヘキサンチオール、ヘプタンチオール、オクタンチオール、ノナンチオール、デカンチオール、ウンデカンチオール、ドデカンチオール、トリデカンチオール、テトラデカンチオール、ペンタデカンチオール、ヘキサデカンチオール、ヘプタデカンチオール、オクタデカンチオール、ノナデカンチオール、およびそれらの組み合わせからなる群から選択される、請求項8に記載の方法。
  11. 前記シリルアミン材料が、トリス(ジメチルアミノ)メチルシラン、トリス(ジメチルアミノ)エチルシラン、トリス(ジメチルアミノ)プロピルシラン、トリス(ジメチルアミノ)ブチルシラン、トリス(ジメチルアミノ)ペンチルシラン、トリス(ジメチルアミノ)ヘキシルシラン、トリス(ジメチルアミノ)ヘプチルシラン、トリス(ジメチルアミノ)オクチルシラン、トリス(ジメチルアミノ)ノニルシラン、トリス(ジメチルアミノ)デシルシラン、トリス(ジメチルアミノ)ウンデシルシラン、トリス(ジメチルアミノ)ドデシルシラン、トリス(ジメチルアミノ)トリデシルシラン、トリス(ジメチルアミノ)テトラデシルシラン、トリス(ジメチルアミノ)ペンタデシルシラン、トリス(ジメチルアミノ)ヘキサデシルシラン、トリス(ジメチルアミノ)ヘプタデシルシラン、トリス(ジメチルアミノ)オクタデシルシラン、トリス(ジメチルアミノ)ノナデシルシラン、およびそれらの組み合わせからなる群から選択される、請求項8に記載の方法。
  12. 前記クロロシラン材料が、メチルトリクロロシラン、エチルトリクロロシラン、プロピルトリクロロシラン、ブチルトリクロロシラン、ペンチルトリクロロシラン、ヘキシルトリクロロシラン、ヘプチルトリクロロシラン、オクチルトリクロロシラン、ノニルトリクロロシラン、デシルトリクロロシラン、ウンデシルトリクロロシラン、ドデシルトリクロロシラン、トリデシルトリクロロシラン、テトラデシルトリクロロシラン、ペンタデシルトリクロロシラン、ヘキサデシルトリクロロシラン、ヘプタデシルトリクロロシラン、オクタデシルトリクロロシラン、ノナデシルトリクロロシラン、およびそれらの組み合わせからなる群から選択される、請求項8に記載の方法。
  13. 前記オキシシラン材料が、メチルトリメトキシシラン、メチルトリエトキシシラン、エチルトリメトキシシラン、エチルトリエトキシシラン、プロピルトリメトキシシラン、プロピルトリエトキシシラン、ブチルトリメトキシシラン、ブチルトリエトキシシラン、ペンチルトリメトキシシラン、ペンチルトリエトキシシラン、ヘキシルトリメトキシシラン、ヘキシルトリエトキシシラン、ヘプチルトリメトキシシラン、ヘプチルトリエトキシシラン、オクチルトリメトキシシラン、オクチルトリエトキシシラン、ノニルトリメトキシシラン、ノニルトリエトキシシラン、デシルトリメトキシシラン、デシルトリエトキシシラン、ウンデシルトリメトキシシラン、ウンデシルトリエトキシシラン、ドデシルトリメトキシシラン、ドデシルトリエトキシシラン、トリデシルトリメトキシシラン、トリデシルトリエトキシシラン、テトラデシルトリメトキシシラン、テトラデシルトリエトキシシラン、ペンタデシルトリメトキシシラン、ペンタデシルトリエトキシシラン、ヘキサデシルトリメトキシシラン、ヘキサデシルトリエトキシシラン、ヘプタデシルトリメトキシシラン、ヘプタデシルトリエトキシシラン、オクタデシルトリメトキシシラン、オクタデシルトリエトキシシラン、ノナデシルトリメトキシシラン、ノナデシルトリエトキシシラン、およびそれらの組み合わせからなる群から選択される、請求項8に記載の方法。
  14. 基板を処理する方法であって、
    露出した第1の材料および露出した第2の材料を含む基板を、第1の処理チャンバ内で自己組織化単分子膜(SAM)分子に曝して、第1の材料上へのSAMの選択的堆積を達成することと、
    前記基板を第2の処理チャンバに移送することと、
    前記第2の処理チャンバ内で前記基板を水蒸気から形成されたヒドロキシル部分に曝すことと、
    前記基板を前記第1の処理チャンバ内でSAM分子に前記曝すことと、前記基板を前記第2の処理チャンバ内でヒドロキシル部分に前記曝すこととを、約1:1から約100:1の間の時間比で繰り返すことと、
    前記繰り返すことを行った後に、前記基板を前記第1の処理チャンバ内で前記SAM分子に曝すことと、
    前記露出した第2の材料上に第3の材料を選択的に堆積させることと、
    前記第1の材料から前記SAMを除去することと
    を含む方法。
  15. 前記基板を前記移送することが、真空下で行われる、請求項14に記載の方法。
  16. 前記基板を水蒸気から形成されたヒドロキシル部分に前記曝すことが、約25℃から約300℃の間の温度で、約1トルから約600トルの間の圧力で、約1秒間から約600秒間の間の時間で行われる、請求項14に記載の方法。
  17. 前記基板をSAM分子に前記曝すことと、前記基板を水蒸気から形成されたヒドロキシル部分に前記曝すことの前記時間比が、約1:1から約10:1の間である、請求項14に記載の方法。
  18. 基板を処理する方法であって、
    露出した第1の材料および露出した第2の材料を含む基板を、処理チャンバ内で自己組織化単分子膜(SAM)分子に曝して、第1の材料上へのSAMの選択的堆積を達成することと、
    前記基板を周囲空気環境に移送することと、
    前記周囲空気環境内で前記基板を周囲空気から形成されたヒドロキシル部分に曝すことと、
    前記基板を前記処理チャンバ内でSAM分子に前記曝すことと、前記基板を前記周囲空気環境内でヒドロキシル部分に前記曝すこととを、約1:1から約100:1の間の時間比で繰り返すことと、
    前記繰り返すことを行った後に、前記基板を前記処理チャンバ内で前記SAM分子に曝すことと、
    前記露出した第2の材料上に第3の材料を選択的に堆積させることと、
    前記第1の材料から前記SAMを除去することと、
    を含む方法。
  19. 前記基板を周囲空気から形成されたヒドロキシル部分に前記曝すことが、約25℃から約400℃の間の温度で、約1ミリトルから約1520トルの間の圧力で、約30秒間から約600秒間の間の時間で行われる、請求項18に記載の方法。
  20. 前記基板をSAM分子に前記曝すことと、前記基板を周囲空気から形成されたヒドロキシル部分に前記曝すことの前記時間比が、約1:10から約10:1の間である、請求項18に記載の方法。
JP2020072029A 2016-03-03 2020-04-14 断続的な空気-水暴露による自己組織化単分子膜のブロッキングの改良 Active JP7043539B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201662303069P 2016-03-03 2016-03-03
US62/303,069 2016-03-03

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2018546484A Division JP6692443B2 (ja) 2016-03-03 2017-02-28 断続的な空気−水暴露による自己組織化単分子膜のブロッキングの改良

Publications (2)

Publication Number Publication Date
JP2020145436A true JP2020145436A (ja) 2020-09-10
JP7043539B2 JP7043539B2 (ja) 2022-03-29

Family

ID=59724305

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2018546484A Active JP6692443B2 (ja) 2016-03-03 2017-02-28 断続的な空気−水暴露による自己組織化単分子膜のブロッキングの改良
JP2020072029A Active JP7043539B2 (ja) 2016-03-03 2020-04-14 断続的な空気-水暴露による自己組織化単分子膜のブロッキングの改良

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2018546484A Active JP6692443B2 (ja) 2016-03-03 2017-02-28 断続的な空気−水暴露による自己組織化単分子膜のブロッキングの改良

Country Status (7)

Country Link
US (2) US10192752B2 (ja)
EP (2) EP4358119A2 (ja)
JP (2) JP6692443B2 (ja)
KR (1) KR102149907B1 (ja)
CN (2) CN117334560A (ja)
TW (2) TWI672389B (ja)
WO (1) WO2017151639A1 (ja)

Families Citing this family (59)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10428421B2 (en) 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
CN117334560A (zh) 2016-03-03 2024-01-02 应用材料公司 利用间歇性空气-水暴露的改良自组装单层阻挡
TWI821984B (zh) 2016-07-27 2023-11-11 美商應用材料股份有限公司 具有合金吸收劑的極紫外線遮罩坯料及製造極紫外線遮罩坯料的方法
TWI774375B (zh) 2016-07-27 2022-08-11 美商應用材料股份有限公司 具多層吸收劑的極紫外遮罩坯料及製造方法
TWI739984B (zh) 2017-01-31 2021-09-21 美商應用材料股份有限公司 就圖案化應用進行選擇性沉積之方案
TW202401527A (zh) * 2017-06-14 2024-01-01 美商應用材料股份有限公司 用於達成無缺陷自組裝單層的晶圓處理
TWI804369B (zh) * 2017-07-14 2023-06-01 荷蘭商Asm Ip控股公司 用於將自組裝單層沈積於基板之表面上的設備
US10900120B2 (en) 2017-07-14 2021-01-26 Asm Ip Holding B.V. Passivation against vapor deposition
US10515896B2 (en) * 2017-08-31 2019-12-24 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnect structure for semiconductor device and methods of fabrication thereof
WO2019055508A1 (en) * 2017-09-12 2019-03-21 Applied Materials, Inc. SELECTIVE REMOVAL OF CHEMICAL ENGRAVING DEPOSITION DEFECTS
TWI757565B (zh) * 2017-12-22 2022-03-11 美商應用材料股份有限公司 在導電表面上沉積阻擋層的方法
KR102515131B1 (ko) * 2018-04-13 2023-03-29 어플라이드 머티어리얼스, 인코포레이티드 선택적 원자 층 증착 방법들
WO2019204121A1 (en) * 2018-04-19 2019-10-24 Applied Materials, Inc. Methods of treating a substrate to form a layer thereon for application in selective deposition processes
US10566194B2 (en) * 2018-05-07 2020-02-18 Lam Research Corporation Selective deposition of etch-stop layer for enhanced patterning
US10847424B2 (en) * 2018-06-22 2020-11-24 Tokyo Electron Limited Method for forming a nanowire device
US10643846B2 (en) 2018-06-28 2020-05-05 Lam Research Corporation Selective growth of metal-containing hardmask thin films
KR102640002B1 (ko) * 2018-07-17 2024-02-27 가부시키가이샤 코쿠사이 엘렉트릭 반도체 장치의 제조 방법, 기판 처리 장치, 기록매체, 및 프로그램
CN112567498A (zh) * 2018-08-10 2021-03-26 应用材料公司 使用自组装单层的选择性沉积的方法
WO2020046746A1 (en) * 2018-08-27 2020-03-05 Versum Materials Us, Llc Selective deposition on silicon containing surfaces
TW202026770A (zh) 2018-10-26 2020-07-16 美商應用材料股份有限公司 用於極紫外線掩模吸收劑的ta-cu合金材料
KR20210087445A (ko) * 2018-11-02 2021-07-12 도쿄엘렉트론가부시키가이샤 막 형성 방법 및 막 형성 장치
WO2020101861A1 (en) * 2018-11-16 2020-05-22 Applied Materials, Inc. Method for forming a layer
US10957590B2 (en) 2018-11-16 2021-03-23 Applied Materials, Inc. Method for forming a layer
TW202028495A (zh) 2018-12-21 2020-08-01 美商應用材料股份有限公司 極紫外線遮罩吸收器及用於製造的方法
TW202035792A (zh) 2019-01-31 2020-10-01 美商應用材料股份有限公司 極紫外光遮罩吸收體材料
TWI828843B (zh) 2019-01-31 2024-01-11 美商應用材料股份有限公司 極紫外線(euv)遮罩素材及其製造方法
US11249390B2 (en) 2019-01-31 2022-02-15 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
TW202043905A (zh) 2019-03-01 2020-12-01 美商應用材料股份有限公司 物理氣相沉積系統與處理
TW202037742A (zh) 2019-03-01 2020-10-16 美商應用材料股份有限公司 物理氣相沉積系統與處理
TWI818151B (zh) 2019-03-01 2023-10-11 美商應用材料股份有限公司 物理氣相沉積腔室及其操作方法
JP6860605B2 (ja) * 2019-03-18 2021-04-14 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
US20200328078A1 (en) * 2019-04-12 2020-10-15 Tokyo Electron Limited Integrated in-situ dry surface preparation and area selective film deposition
US11275303B2 (en) 2019-05-22 2022-03-15 Applied Materials Inc. Extreme ultraviolet mask absorber matertals
TW202104667A (zh) 2019-05-22 2021-02-01 美商應用材料股份有限公司 極紫外光遮罩吸收材料
TW202111420A (zh) 2019-05-22 2021-03-16 美商應用材料股份有限公司 極紫外光遮罩吸收材料
TW202104666A (zh) 2019-05-22 2021-02-01 美商應用材料股份有限公司 極紫外光遮罩吸收劑材料
US11366379B2 (en) 2019-05-22 2022-06-21 Applied Materials Inc. Extreme ultraviolet mask with embedded absorber layer
US10777411B1 (en) 2019-05-31 2020-09-15 International Business Machines Corporation Semiconductor device with selective dielectric deposition
JP7330000B2 (ja) * 2019-07-16 2023-08-21 東京応化工業株式会社 表面処理剤、表面処理方法及び基板表面の領域選択的製膜方法
US11385536B2 (en) 2019-08-08 2022-07-12 Applied Materials, Inc. EUV mask blanks and methods of manufacture
US20210087691A1 (en) * 2019-09-24 2021-03-25 Tokyo Electron Limited Film forming method
US11630385B2 (en) 2020-01-24 2023-04-18 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
TWI817073B (zh) 2020-01-27 2023-10-01 美商應用材料股份有限公司 極紫外光遮罩坯體硬遮罩材料
TW202131087A (zh) 2020-01-27 2021-08-16 美商應用材料股份有限公司 極紫外光遮罩吸收劑材料
TW202129401A (zh) 2020-01-27 2021-08-01 美商應用材料股份有限公司 極紫外線遮罩坯體硬遮罩材料
TW202141165A (zh) 2020-03-27 2021-11-01 美商應用材料股份有限公司 極紫外光遮罩吸收材料
US11644741B2 (en) 2020-04-17 2023-05-09 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
US11300871B2 (en) 2020-04-29 2022-04-12 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
KR20230026385A (ko) 2020-06-17 2023-02-24 도쿄엘렉트론가부시키가이샤 영역 선택적 증착에서 측방 막 형성을 감소시키는 방법
JP2022050198A (ja) * 2020-09-17 2022-03-30 東京エレクトロン株式会社 成膜方法及び成膜装置
US11609490B2 (en) 2020-10-06 2023-03-21 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
US20220139703A1 (en) * 2020-10-30 2022-05-05 The Board Of Trustees Of The Leland Stanford Junior University New precursors for selective atomic layer deposition of metal oxides with small molecule inhibitors
EP4240886A1 (en) * 2020-12-01 2023-09-13 Versum Materials US, LLC Selective plasma enhanced atomic layer deposition
US11513437B2 (en) 2021-01-11 2022-11-29 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
US11592738B2 (en) 2021-01-28 2023-02-28 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
US20230002890A1 (en) * 2021-07-02 2023-01-05 Applied Materials, Inc. Multiple surface and fluorinated blocking compounds
JP7374961B2 (ja) * 2021-07-27 2023-11-07 株式会社Kokusai Electric 半導体装置の製造方法、基板処理方法、基板処理装置、およびプログラム
US11815803B2 (en) 2021-08-30 2023-11-14 Applied Materials, Inc. Multilayer extreme ultraviolet reflector materials
US11782337B2 (en) 2021-09-09 2023-10-10 Applied Materials, Inc. Multilayer extreme ultraviolet reflectors

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007505220A (ja) * 2004-06-04 2007-03-08 アプライド マイクロストラクチャーズ,インコーポレイテッド 酸化層により接着される多層コーティングの制御された気相堆積
JP2008177283A (ja) * 2007-01-17 2008-07-31 Sharp Corp 有機薄膜の形成方法および有機薄膜形成装置
JP2010533966A (ja) * 2007-07-13 2010-10-28 インターモレキュラー, インコーポレイテッド 低誘電率の誘電性材料の表面調整
JP2013154315A (ja) * 2012-01-31 2013-08-15 Ricoh Co Ltd 薄膜形成装置、薄膜形成方法、電気−機械変換素子、液体吐出ヘッド、およびインクジェット記録装置

Family Cites Families (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6200893B1 (en) 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
US6391785B1 (en) 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
WO2001049823A2 (en) 2000-01-06 2001-07-12 Biosite Diagnostics, Inc. Assays for detection of bacillus anthracis
US6649408B2 (en) 2000-03-24 2003-11-18 George Mason University Microdroplet cell culture technique
US6443435B1 (en) 2000-10-23 2002-09-03 Applied Materials, Inc. Vaporization of precursors at point of use
JP2004296668A (ja) * 2003-03-26 2004-10-21 Seiko Epson Corp パターンの形成方法及びデバイスの製造方法、導電膜配線、電気光学装置、並びに電子機器
US7914847B2 (en) 2003-05-09 2011-03-29 Asm America, Inc. Reactor surface passivation through chemical deactivation
WO2004102648A2 (en) 2003-05-09 2004-11-25 Asm America, Inc. Reactor surface passivation through chemical deactivation
WO2004113585A2 (en) * 2003-06-18 2004-12-29 Applied Materials, Inc. Atomic layer deposition of barrier materials
US7071022B2 (en) 2003-07-18 2006-07-04 Corning Incorporated Silicon crystallization using self-assembled monolayers
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US7390739B2 (en) * 2005-05-18 2008-06-24 Lazovsky David E Formation of a masking layer on a dielectric region to facilitate formation of a capping layer on electrically conductive regions separated by the dielectric region
US7582534B2 (en) 2004-11-18 2009-09-01 International Business Machines Corporation Chemical doping of nano-components
WO2006058034A2 (en) 2004-11-22 2006-06-01 Intermolecular, Inc. Molecular self-assembly in substrate processing
US7368377B2 (en) 2004-12-09 2008-05-06 Interuniversitair Microelektronica Centrum (Imec) Vzw Method for selective deposition of a thin self-assembled monolayer
EP1877245A4 (en) * 2005-04-12 2012-08-15 Massachusetts Inst Technology NANO CONTACT PRESSURE
US7084060B1 (en) 2005-05-04 2006-08-01 International Business Machines Corporation Forming capping layer over metal wire structure using selective atomic layer deposition
US8158832B2 (en) * 2005-11-09 2012-04-17 The Trustees Of Columbia University In The City Of New York Photochemical methods and photoactive compounds for modifying surfaces
KR101169058B1 (ko) 2006-03-10 2012-07-26 엘지디스플레이 주식회사 박막 트랜지스터 및 그 제조방법
US7775508B2 (en) 2006-10-31 2010-08-17 Applied Materials, Inc. Ampoule for liquid draw and vapor draw with a continuous level sensor
US7790631B2 (en) * 2006-11-21 2010-09-07 Intel Corporation Selective deposition of a dielectric on a self-assembled monolayer-adsorbed metal
KR101138869B1 (ko) 2006-12-22 2012-05-14 삼성전자주식회사 유기발광 디스플레이의 단위 화소부 구동소자의 제조방법
US20090057266A1 (en) 2007-08-27 2009-03-05 Eda Tuncel Line edge roughness control
KR101078309B1 (ko) * 2009-03-25 2011-10-31 포항공과대학교 산학협력단 선택적 증착법을 이용한 반도체 소자의 콘택트 형성방법
KR101067345B1 (ko) 2009-08-06 2011-09-23 한국과학기술원 패턴형성방법 및 패턴형성장치
JP5318217B2 (ja) 2009-09-28 2013-10-16 株式会社東芝 パターン形成方法
US8691675B2 (en) * 2009-11-25 2014-04-08 International Business Machines Corporation Vapor phase deposition processes for doping silicon
US8293658B2 (en) 2010-02-17 2012-10-23 Asm America, Inc. Reactive site deactivation against vapor deposition
US9112003B2 (en) 2011-12-09 2015-08-18 Asm International N.V. Selective formation of metallic films on metallic surfaces
US8747686B2 (en) 2012-01-27 2014-06-10 Applied Materials, Inc. Methods of end point detection for substrate fabrication processes
JP2014236148A (ja) 2013-06-04 2014-12-15 東京エレクトロン株式会社 有機分子膜の形成装置および形成方法
US9153457B2 (en) 2013-06-14 2015-10-06 Tokyo Electron Limited Etch process for reducing directed self assembly pattern defectivity using direct current positioning
US11329241B2 (en) * 2013-08-29 2022-05-10 The Regents Of The University Of Michigan Exciton-blocking treatments for buffer layers in organic photovoltaics
US9525082B2 (en) 2013-09-27 2016-12-20 Sunpower Corporation Solar cell contact structures formed from metal paste
US10049921B2 (en) 2014-08-20 2018-08-14 Lam Research Corporation Method for selectively sealing ultra low-k porous dielectric layer using flowable dielectric film formed from vapor phase dielectric precursor
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
CN117334560A (zh) 2016-03-03 2024-01-02 应用材料公司 利用间歇性空气-水暴露的改良自组装单层阻挡

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007505220A (ja) * 2004-06-04 2007-03-08 アプライド マイクロストラクチャーズ,インコーポレイテッド 酸化層により接着される多層コーティングの制御された気相堆積
JP2008177283A (ja) * 2007-01-17 2008-07-31 Sharp Corp 有機薄膜の形成方法および有機薄膜形成装置
JP2010533966A (ja) * 2007-07-13 2010-10-28 インターモレキュラー, インコーポレイテッド 低誘電率の誘電性材料の表面調整
JP2013154315A (ja) * 2012-01-31 2013-08-15 Ricoh Co Ltd 薄膜形成装置、薄膜形成方法、電気−機械変換素子、液体吐出ヘッド、およびインクジェット記録装置

Also Published As

Publication number Publication date
US20170256402A1 (en) 2017-09-07
JP2019512877A (ja) 2019-05-16
TW202010860A (zh) 2020-03-16
KR102149907B1 (ko) 2020-08-31
US20190157101A1 (en) 2019-05-23
US10818510B2 (en) 2020-10-27
TW201802281A (zh) 2018-01-16
US10192752B2 (en) 2019-01-29
KR20180113632A (ko) 2018-10-16
CN109075021B (zh) 2023-09-05
TWI672389B (zh) 2019-09-21
EP4358119A2 (en) 2024-04-24
EP3424070A1 (en) 2019-01-09
JP7043539B2 (ja) 2022-03-29
JP6692443B2 (ja) 2020-05-13
TWI719594B (zh) 2021-02-21
EP3424070B1 (en) 2024-03-27
CN117334560A (zh) 2024-01-02
CN109075021A (zh) 2018-12-21
WO2017151639A1 (en) 2017-09-08
EP3424070A4 (en) 2020-03-04

Similar Documents

Publication Publication Date Title
JP7043539B2 (ja) 断続的な空気-水暴露による自己組織化単分子膜のブロッキングの改良
US10510546B2 (en) Schemes for selective deposition for patterning applications
JP7198246B2 (ja) 自己組織化単分子層処理のための化学物質供給チャンバ
TW201943880A (zh) 在基板上形成層以應用於選擇性沉積製程之基板處理方法
JP7194116B2 (ja) 酸化ケイ素の核形成/接着の向上により膜粗さを改善するための処理アプローチ
KR102509390B1 (ko) 산화규소 상의 초박형 비정질 규소 막의 연속성을 개선하기 위한 전처리 접근법
US20180308685A1 (en) Low temperature selective epitaxial silicon deposition
WO2007095973A1 (en) Integrated system for semiconductor substrate processing using liquid phase metal deposition
KR20210076166A (ko) 금속 실리사이드들의 선택적 증착 및 선택적 산화물 제거
US20240145242A1 (en) Method of blocking dielectric surfaces using blocking molecules to enable selective epi deposition
US20230323528A1 (en) Substrate processing method and selective deposition method using the same

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20200511

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20200511

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20210422

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20210427

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20210727

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210927

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20220222

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20220316

R150 Certificate of patent or registration of utility model

Ref document number: 7043539

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150