JP7194116B2 - 酸化ケイ素の核形成/接着の向上により膜粗さを改善するための処理アプローチ - Google Patents

酸化ケイ素の核形成/接着の向上により膜粗さを改善するための処理アプローチ Download PDF

Info

Publication number
JP7194116B2
JP7194116B2 JP2019554823A JP2019554823A JP7194116B2 JP 7194116 B2 JP7194116 B2 JP 7194116B2 JP 2019554823 A JP2019554823 A JP 2019554823A JP 2019554823 A JP2019554823 A JP 2019554823A JP 7194116 B2 JP7194116 B2 JP 7194116B2
Authority
JP
Japan
Prior art keywords
substrate
amorphous silicon
patterned features
sam
layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2019554823A
Other languages
English (en)
Other versions
JP2020517098A5 (ja
JP2020517098A (ja
Inventor
ルイ チェン,
アブヒジット バス マリック,
イーホン チェン,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2020517098A publication Critical patent/JP2020517098A/ja
Publication of JP2020517098A5 publication Critical patent/JP2020517098A5/ja
Application granted granted Critical
Publication of JP7194116B2 publication Critical patent/JP7194116B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • C23C16/0245Pretreatment of the material to be coated by cleaning or etching by etching with a plasma
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/24Deposition of silicon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02587Structure
    • H01L21/0259Microstructure
    • H01L21/02592Microstructure amorphous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02656Special treatments
    • H01L21/02658Pretreatments
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02656Special treatments
    • H01L21/02664Aftertreatments
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Semiconductor Memories (AREA)

Description

本書に記載の実行形態は、概して集積回路の製造に関し、特にスペーサの形成に関する。
関連技術の説明
集積回路は、単一チップ上の数百万ものトランジスタ、コンデンサ、及び抵抗器を含みうる複雑なデバイスへと進化を遂げてきた。チップ設計の進化では、より高速な回路及びより高い回路密度を取り込むことが繰り返されている。より高い回路密度を伴うより高速な回路に対する需要により、かかる集積回路の製造に使用される材料についても、相応の要求が課されている。詳細には、集積回路構成要素の寸法がサブミクロン単位まで小さくなることにより、今では、かかる構成要素から好適な電気的性能を得るために、低抵抗の導電性材料並びに低誘電率の絶縁材料を使用することが必要になっている。しかし、このような低誘電率の絶縁材料は粗さの問題を抱えることが多い。この粗さの問題は、後続堆積される膜の粗さを増大させ、膜同士の接着に影響を与えうる。
したがって、粗さが低減された薄膜を形成する、改良型の方法が必要とされている。
本書に記載の実行形態は、概して集積回路の製造に関し、特にスペーサの形成に関する。一実行形態では、処理チャンバ内で基板上にアモルファスシリコン層を形成する方法が提供される。この方法は、基板の上に、所定の厚さの犠牲誘電体層を堆積させることを含む。方法は、犠牲誘電体層を部分的に除去して基板の上側表面を露出させることによって、基板上に、パターニングされたフィーチャを形成することを更に含む。方法は、パターニングされたフィーチャにプラズマ処理を実施することを更に含む。方法は、パターニングされたフィーチャ上及び基板の露出した上側表面上に、アモルファスシリコン層を堆積させることを更に含む。方法は、アモルファスシリコン層から形成された側壁スペーサの中がパターニングされたフィーチャで満たされているようにするために、異方性エッチングプロセスを使用して、パターニングされたフィーチャの上側表面及び基板の上側表面からアモルファスシリコン層を選択的に除去することを更に含む。
別の実行形態では、処理チャンバ内で基板上にアモルファスシリコン層を形成する方法が提供される。この方法は、基板の上に、所定の厚さの犠牲誘電体層を堆積させることを含む。方法は、犠牲誘電体層を部分的に除去して基板の上側表面を露出させることによって、基板上に、パターニングされたフィーチャを形成することを更に含む。方法は、パターニングされたフィーチャ上に自己集合単層(SAM)を形成することを更に含む。方法は、SAM上及び基板の露出した上側表面上に、アモルファスシリコン層を堆積させることを更に含む。方法は、アモルファスシリコン層から形成された側壁スペーサの中がパターニングされたフィーチャで満たされているようにするために、異方性エッチングプロセスを使用して、パターニングされたフィーチャの上側表面及び基板の上側表面からアモルファスシリコン層を選択的に除去することを更に含む。
更に別の実行形態では、処理チャンバ内で基板上にアモルファスシリコン層を形成する方法が提供される。この方法は、基板の上に、所定の厚さの犠牲誘電体層を堆積させることを含む。方法は、犠牲誘電体層を部分的に除去して基板の上側表面を露出させることによって、基板上に、パターニングされたフィーチャを形成することを更に含む。方法は、パターニングされたフィーチャにプラズマ処理を実施することを更に含む。方法は、プラズマ処理済みのパターニングされたフィーチャ上に自己集合単層(SAM)を形成することを更に含む。方法は、SAM上及び基板の露出した上側表面上に、アモルファスシリコン層を堆積させることを更に含む。方法は、アモルファスシリコン層から形成された側壁スペーサの中がパターニングされたフィーチャで満たされているようにするために、異方性エッチングプロセスを使用して、パターニングされたフィーチャの上側表面及び基板の上側表面からアモルファスシリコン層を選択的に除去することを更に含む。
上述した本開示の特徴が詳しく理解されうるように、上記で簡潔に要約したものよりも具体的な実行形態の説明が、実行形態を参照することによって得られる。一部の実行形態は、付随する図面に示されている。しかし、本開示は他の等しく有効な実行形態も許容しうるため、付随する図面は、この開示の典型的な実行形態のみを示しており、したがって、本開示の範囲を限定すると見なすべきではないことに、留意されたい。
本書に記載の実行形態を実践するために使用されうる装置の概略図を示す。 本書の記載の通りにプラズマ処理を用いてスペーサを形成するための方法の、一実行形態を示すプロセスフロー図である。 図2のプロセスフロー図により形成される構造物の概略側面図である。 本書に記載の実行形態による、スペーサを形成するための方法の別の実行形態を示すプロセスフロー図である。 図4のプロセスフロー図により形成される構造物の概略側面図である。 本書に記載の実行形態による、スペーサを形成するための方法の別の実行形態を示すプロセスフロー図である。
理解を容易にするために、可能な場合には、複数の図に共通する同一の要素を指し示すのに同一の参照番号を使用した。1つの実行形態の要素及び特徴は、更なる記述がなくとも、他の実行形態に有益に組み込まれうると想定される。
以下の記述は、膜及び基板上にスペーサ膜を堆積させるための技法について説明するものである。本開示の様々な実行形態についての徹底的な理解を提供するために、以下の説明及び図1から図6に、特定の詳細事項を明記している。その他の、堆積プロセス及びエッチングプロセスに関連することが多い周知の構造及びシステムについて説明する詳細事項は、様々な実行形態についての説明が不必要に曖昧になることを避けるために、以下の開示には明記されない。
図に示している詳細事項、寸法、角度、及びその他の特徴の多くは、具体的な実行形態を単に例示するものに過ぎない。したがって、他の実行形態が、本開示の主旨及び範囲から逸脱することなく、図に示していない詳細事項、構成要素、寸法、角度、及び特徴を有することも可能である。加えて、本開示の更なる実行形態は、後述する詳細事項のうちのいくつかがなくとも実践されうる。
本書に記載の実行形態は、任意の好適な薄膜堆積システムを使用して実行されうる熱CVDプロセス及び/又はプラズマ化学気相堆積(PECVD)プロセスに関連して、後述される。好適なシステムの例は、カリフォルニア州Santa ClaraのApplied Materials,Inc.から市販されている、DXZ(R)処理チャンバを使用可能なCENTURA(R)システム、PRECISION 5000(R)システム、PRODUCER(R)システム、PRODUCER(R)GTTMシステム、PRODUCER(R)XP PrecisionTMシステム、及びPRODUCER(R)SETMシステムを含む。熱CVDプロセス及びPECVDプロセスを実施することが可能なその他のツールも、本書に記載の実行形態から受益するよう適合しうる。加えて、本書に記載の熱CVDプロセス及び/又はPECVDプロセスを可能にするいかなるシステムも、有利に使用されうる。本書に記載の装置の説明は例示的なものであり、本書に記載の実行形態の範囲を制限するものと理解すべきでも、解釈すべきでもない。
酸化物積層体上へのアモルファスシリコン膜の堆積中に、アモルファスシリコン膜とその下の酸化物膜との間に、粗さの問題及び接着の問題が観察された。本書に記載の実行形態の一部により、アモルファスシリコンの堆積に先立って下層の酸化物膜を処理する方法であって、酸化物上のアモルファスシリコン膜の粗さを低減すると共に、アモルファスシリコンと酸化物積層体との間の接着/核形成を向上させる方法が、提供された。本開示の一部の実行形態では、粗さを低減するために、アモルファスシリコン膜の堆積の直前に、下層の酸化物膜が、プラズマ処理及び/又は自己集合単層(SAM)処理に暴露された。理論によって制約されるわけではないが、プラズマ処理プロセスは、酸化ケイ素表面上に存在する未結合手を変化させて、粗さを低減し、かつ接着を向上させると、考えられている。
「自己集合単層(SAM)」とは、概括的には、ある表面に(例えば化学結合によって)付着している複数の分子であって、この表面に対して(互いに対しても)好適な配向をとっている分子の層のことである。SAMは、典型的には、両親媒性分子の組織化された層を含む。この層内では、分子の一端(「頭部基(head group)」)が、基板に対する固有の可逆的親和性を示す。頭部基の選択はSAMの適用に依拠するものであり、SAM化合物の種類は利用される基板に基づく。概括的には、頭部基はアルキル鎖に接続される。アルキル鎖においては、尾部すなわち「末端」が官能化されて、例えば、濡れ性及び界面物性が変化しうる。自己集合単層は、完全に表面を覆うのでこの表面の物性を変化させることが、十分な時間をかけて証明されている。SAMを形成する分子は、別の材料の上である材料に(例えば誘電体とシリコンに)選択的に付着する。SAMの密度が十分であれば、後続の堆積の阻害に成功することが可能であり、SAMでコーティングされていない材料上への選択的堆積が可能になる。
図1は、本書に記載の実行形態による酸化処理及び後続のアモルファスシリコン層堆積を実施するために使用されうる、基板処理システム132の概略図を示している。好適なシステムの例は、カリフォルニア州Santa ClaraのApplied Materials,Inc.から市販されている、DxZTM処理チャンバを使用しうるCENTURA(R)システム、PRECISION 5000(R)システム、PRODUCERTMシステム、PRODUCER GTTM処理チャンバ、及びPRODUCER SETM処理チャンバを含む。その他の処理システム(他の製造業者から入手可能なものを含む、)も、本書に記載の実行形態を実践するよう適合しうると想定される。
基板処理システム132は、ガスパネル130及びコントローラ110に連結された、プロセスチャンバ100を含む。プロセスチャンバ100は、概括的には、内部空間126を画定する、上部壁124、側壁部101、及び底部壁122を含む。基板を支持するための支持ペデスタル150が、プロセスチャンバ100の内部空間126の中に設けられる。支持ペデスタル150は、ステム160によって支持されており、典型的には、アルミニウム、セラミック、及びその他の好適な材料から製造されうる。支持ペデスタル150は、変位機構(図示せず)を使用して、プロセスチャンバ100の内部で垂直方向に動かされうる。
支持ペデスタル150は、支持ペデスタル150の表面192上に支持された基板190の温度を制御するのに適した、埋め込み式加熱素子170を含みうる。支持ペデスタル150は、電力供給源106から埋め込み式加熱素子170に電流を印加することによって、抵抗加熱されうる。埋め込み式加熱素子170は、ニッケル-鉄-クロム合金(例えばINCOLOY(R))のシースチューブ内に封入されたニッケル-クロムワイヤで作製されうる。電力供給源106から供給された電流は、埋め込み式加熱素子170により生成される熱を制御するために、コントローラ110によって調節される。これにより、基板190及び支持ペデスタル150は、膜堆積中に、実質的に一定の温度に維持される。供給される電流は、支持ペデスタル150の温度を摂氏約100度~摂氏約700度の間で選択的に制御するよう調節されうる。
支持ペデスタル150の温度を従来的な様態でモニタするために、温度センサ172(熱電対など)が支持ペデスタル150に埋め込まれることもある。測定された温度は、埋め込み式加熱素子170に供給される電力を制御して、基板を望ましい温度に維持するために、コントローラ110によって使用される。
真空ポンプ102が、チャンバ100の底部に形成されたポートに連結される。真空ポンプ102は、プロセスチャンバ100内の望ましいガス圧を維持するために使用される。真空ポンプ102は更に、後処理ガス及びプロセスの副生成物をプロセスチャンバ100から排気する。
基板処理システム132は、プロセスチャンバ100と真空ポンプ102との間に位置付けられた、チャンバ圧力を制御するための更なる装置、(例えば、スロットルバルブ及び隔離バルブといったバルブ)を、更に含みうる。
複数の開孔128を有するシャワーヘッド120が、支持ペデスタル150の上方の、プロセスチャンバ100の上部に配置される。シャワーヘッド120の開孔128は、プロセスガスをプロセスチャンバ100に導入するために利用される。開孔128は、種々の処理要件のための様々なプロセスガスの流れを促進するために、種々のサイズ、数、分布、形状、設計、及び直径を有しうる。シャワーヘッド120は、処理中に様々なガスを処理空間126に供給することを可能にする、ガスパネル130に接続される。シャワーヘッド120から出るプロセス混合ガスからプラズマが形成されて、プロセスガスの熱分解が強化され、その結果、基板190の表面191上に材料が堆積される。
シャワーヘッド120と支持ペデスタル150とは、処理空間126内で一対の離間した電極を形成しうる。シャワーヘッド120と支持ペデスタル150との間でのプラズマの生成を促進するために、一又は複数のRF電源140が、バイアス電位を、整合ネットワーク138を通じてシャワーヘッド120に提供する。あるいは、RF電源140及び整合ネットワーク138は、シャワーヘッド120若しくは支持ペデスタル150に連結されうるか、シャワーヘッド120と支持ペデスタル150の両方に連結されうるか、又は、プロセスチャンバ100の外部に配置されたアンテナ(図示せず)に連結されうる。一実行形態では、RF電源140は、約50kHz~約13.6MHzの周波数で、約100ワット~約3000ワットを提供しうる。別の実行形態では、RF電源140は、約50kHz~約13.6MHzの周波数で、約500ワット~約1800ワットを提供しうる。
コントローラ110は、プロセスシーケンスを制御し、ガスパネル130からのガス流を調節するために利用される、中央処理装置(CPU)112、メモリ116、及びサポート回路114を含む。CPU112は、産業用設定で使用されうる汎用コンピュータプロセッサの任意の形態のものでありうる。ソフトウェアルーチンは、メモリ116(ランダムアクセスメモリ、読み出し専用メモリ、フロッピー、若しくはハードディスクドライブなど)、又はその他の形態のデジタル記憶装置に記憶されうる。サポート回路114は、従来的にはCPU112に連結され、キャッシュ、クロック回路、入出力システム、電力供給源などを含みうる。コントローラ110と、基板処理システム132の様々な構成要素との間の双方向通信は、信号バス118と総称される多数の信号ケーブル(その一部を図1に示している)を経由して処理される。
一実行形態では、基板190の表面191は実質的に平面である。あるいは、基板190は、パターニングされた構造物を有してよく、表面にトレンチ、孔、又はビアが形成されている。基板190は、実質的に平らな表面であって、その表面上又は内部に望ましい高さ/深さの構造物が形成されている、表面を有することもある。基板190は、単一体として図示されているが、半導体デバイス(金属接点、トレンチ隔離部、ゲート、ビット線、又は他の任意の相互接続フィーチャなど)の形成に使用される一又は複数の材料を含有しうると、理解される。基板190は、半導体デバイスを製造するために利用される、一又は複数の金属層、一又は複数の誘電体材料、半導体材料、及びこれらの組み合わせを含みうる。例えば、基板190は、用途に応じて、酸化物材料、窒化物材料、ポリシリコン材料などを含みうる。
メモリ応用が求められる一実行形態では、基板190は、シリコン基板材料と、酸化物材料と、窒化物材料とを含みうる(間にポリシリコンが挟持されることも、されないこともある)。別の実行形態では、基板190は、基板の表面上に堆積された、複数の交互になった酸化物材料と窒化物材料(すなわち、酸化物-窒化物-酸化物(ONO))を含みうる(図示せず)。様々な実行形態において、基板190は、複数の交互になった酸化物材料と窒化物材料、一又は複数の酸化物若しくは窒化物の材料、ポリシリコン若しくはアモルファスシリコンの材料、アモルファスカーボンと交互になった酸化物、ポリシリコンと交互になった酸化物、ドープされたシリコンと交互になったドープされていないシリコン、ドープされたポリシリコンと交互になったドープされていないポリシリコン、又は、ドープされたアモルファスシリコンと交互になったドープされていないアモルファスシリコンを、含みうる。基板は、任意の基板又は材料面であって、その表面上に膜処理が実施される、基板又は材料面でありうる。例えば、基板190は、結晶シリコン、酸化ケイ素、酸窒化ケイ素、窒化ケイ素、ストレインドシリコン、シリコンゲルマニウム、タングステン、窒化チタン、ドープされた又はドープされていないポリシリコン、ドープされた又はドープされていないシリコンのウエハ及びパターニングされた又はパターニングされていないウエハ、シリコンオンインシュレータ(SOI)、炭素がドープされた酸化ケイ素、窒化ケイ素、ドープされたシリコン、ゲルマニウム、ヒ化ガリウム、ガラス、サファイア、低誘電率(low k)誘電体、並びにこれらの組み合わせといった、材料でありうる。
図2は、本書に記載の通りにプラズマ処理を用いてスペーサを形成するための方法200の、一実行形態を示すプロセスフロー図である。図3Aから3Eは、図2のプロセスにより形成される構造物の概略側面図である。この形成プロセスは、プラズマを用いて又はプラズマを用いずに、行われうる。堆積は、熱CVDプロセス又はPECVDプロセスを通じて行われうる。例示のために、自己整合二重パターニングプロセスが選定されると想定される。本開示の構想は、他のプロセス、例えば、様々な半導体プロセス(例えばNANDフラッシュ応用、DRAM応用、又はCMOS応用など)において必要に応じて、ライン幅及び間隔が変動可能な保護スペーサの使用又は保護犠牲層の使用を伴いうる、シングルパターニング方式又はデュアルパターニング方式(例えばビア/孔狭小化プロセス、自己整合三重パターニング(SATP)プロセス、又は自己整合四重パターニング(SAQP)プロセスなど)にも、等しく適用可能である。
方法200は、工程210において、基板300上に犠牲構造物層320を形成することによって始まる。この基板は、基板190と同様のものでありうる。犠牲構造物層320は、シリコンベースの材料(酸化ケイ素、窒化ケイ素、又はポリシリコンなど)でありうる。あるいは、犠牲構造物層320は、炭素ベースの材料(アモルファスカーボンなど)でありうる。炭素ベースの犠牲構造物層が求められる場合、犠牲構造物層320は、アモルファスカーボンと水素との組み合わせ(水素化アモルファスカーボン膜)でありうる。例示的なアモルファスカーボン膜の1つは、カリフォルニア州Santa ClaraのApplied Materials,Inc.から市販されている、剥離可能なアドバンスドパターニングフィルムTM(APF)材料でありうる。犠牲構造物層320に使用される材料の選定は、その上に形成される共形保護層に対してのエッチング/灰化速度に応じて変わりうると、想定される。図示していないが、炭素ベースの犠牲構造物層が使用される、ある種の実行形態では、リソグラフィパターニングプロセスにおける光の反射を制御するために、一又は複数の反射防止コーティング層が、炭素ベースの犠牲構造物層の上に堆積されうる。好適な反射防止コーティング層は、二酸化ケイ素、酸窒化ケイ素、窒化ケイ素、又はこれらの組み合わせを含みうる。例示的な反射防止コーティング層の1つは、カリフォルニア州Santa ClaraのApplied Materials,Inc.から市販されている、DARCTM材料でありうる。
基板300は、図示しているように、実質的に平らな表面323を有しうる。あるいは、基板300は、パターニングされた構造物を有してよく、表面にトレンチ、孔、又はビアが形成されている。基板300は、単一体として図示されているが、半導体デバイス(金属接点、トレンチ隔離部、ゲート、ビット線、又は他の任意の相互接続フィーチャなど)の形成に使用される一又は複数の材料を含有しうる。一実行形態では、基板300は、半導体デバイスを製造するために利用される、一又は複数の金属層、一又は複数の誘電体材料、半導体材料、及びこれらの組み合わせを含みうる。例えば、基板300は、用途に応じて、酸化物材料、窒化物材料、ポリシリコン材料などを含みうる。メモリ応用が求められる場合、基板300は、シリコン基板材料と、酸化物材料と、窒化物材料とを含みうる(間にポリシリコンが挟持されることも、されないこともある)。
工程220において、図3Aに示しているように、レジスト層330(フォトレジスト材料など)が、犠牲構造物層320上に堆積される。
工程230において、図3Bに示しているように、犠牲構造物層320から形成された、パターニングされたフィーチャ321が、標準的なフォトリソグラフィとエッチングの技法を使用して、基板300上に作製される。パターニングされたフィーチャは、任意の好適な材料(例えば、二酸化ケイ素、酸窒化ケイ素といった酸化物、又は、窒化ケイ素などの窒化物)から形成されうる。パターニングされたフィーチャは、時にプレースホルダ、マンドレル、又はコアと称され、使用されるフォトレジスト材料に基づいて、特定のライン幅及び/又は間隔を有する。パターニングされたフィーチャ321の幅は、レジスト層330にトリミングプロセスを行うことによって調整されうる。パターンが犠牲構造物層320に転写された後に、残留しているフォトレジスト材料及び(使用されたのであれば)ハードマスク材料があれば、それらは、好適なフォトレジスト剥離プロセスを使用して除去される。
工程240において、犠牲構造物層320にプラズマ処理が実施されうる。理論によって制約されるわけではないが、プラズマ処理プロセスは犠牲構造物層320の表面粗さを低減すると、考えられている。一実行形態では、プラズマ処理は、犠牲構造物層が形成されたのと同じチャンバ内で実施される。一実行形態では、プラズマ処理は、アモルファスシリコン層が形成されるのと同じチャンバ内で実施される。あるいは、プラズマ処理は、別のプラズマプロセスチャンバ内で実施されうる。
本開示の一実行形態によると、プラズマ処理は、表面上に犠牲構造物層が形成されている基板をプラズマプロセスチャンバ(例えば図1のプラズマチャンバ)の中に位置付けること、このプラズマプロセスチャンバに処理ガスを流すこと、及び、プラズマプロセスチャンバ内でプラズマストライクを行うことによって、実施されうる。一実行形態では、処理ガスは、アルゴン(Ar)、水素(H)、アンモニア(NH)、窒素(N)、亜酸化窒素(NO)、又はこれらの組み合わせでありうる。一実行形態では、プラズマは、容量結合プラズマ(CCP)又は誘導結合プラズマ(ICP)である。
プラズマ処理中に、プロセスチャンバは、摂氏約100度~摂氏約500度の温度に維持されうる。処理ガスは、約20sccm~約20,000sccmの流量で、プロセスチャンバに流されうる。チャンバ圧力は、約0.01Torr~約50Torr(例えば約0.1Torr~20Torr)でありうる。プロセスチャンバ内でプラズマを生成するために、プロセスチャンバ内の処理ガスにRF電力が印加される。一実行形態では、約50kHz~約13.6MHzの周波数で、約50ワット~約3,000ワット(例えば、約100ワット~約3,000ワット、又は約50ワット~約2,000ワット)のRF電力が提供されうる。一部の実行形態では、周波数は約2MHzであるか、13.56MHzであるか、又は、2MHzと13.56MHzとを含む混合周波数である。一部の実行形態では、プラズマ処理プロセス中に基板がバイアスされる。基板に印加されるバイアスは、0ワット~1,000ワットでありうる。プラズマ処理は、約5秒~約600秒(例えば約10秒~約300秒)の持続時間にわたって実施されうる。
工程250において、図3Cに示しているように、アモルファスシリコン層340が、パターニングされたフィーチャ321上及び基板300の露出した表面上に、共形に又は実質的に共形に堆積される。アモルファスシリコン層340は、本書に記載の実行形態により形成される。アモルファスシリコン層340の厚さは、約5Å~約200Åでありうる。
一部の実行形態では、シリコン前駆体の分圧を最大にしつつ、基板温度を最低にすることによって、共形アモルファスシリコン(a-Si)堆積が実現されうる。好適なシリコン前駆体は、ポリシラン(Si)を含むがそれに限定されるわけではない。例えば、ポリシランは、ジシラン(Si)、トリシラン(Si)、テトラシラン(Si10)、イソテトラシラン、ネオペンタシラン(Si12)、シクロペンタシラン(Si10)、ヘキサシラン(C14)、シクロヘキサシラン(Si12)若しくは一般にx=2以上であるSi、又はこれらの組み合わせを含む。例えば、中程度の処理温度と高い蒸気圧とを有するジシランが、単独で、又は他の化学種と組み合わされて、シリコン前駆体として使用されうる。
一部の実行形態では、シリコン前駆体は、実質的にジシランのみを含む。本書で使用される場合、「実質的にジシランのみ(substantially only disilane)」という表現は、活性種の少なくとも95%がジシランであることを意味する。この分量において、他のガス(キャリアガス及び不活性ガスなど)も含まれうる。
堆積された膜は、膜から発生しうるか又はアウトガスしうるアウトガス可能な化学種(水素など)を含む。不活性ガス抜き環境により、ガス状種が発生する機会がもたらされ、完成膜の起泡が最小化される。不活性ガス抜き環境は、膜のアウトガス可能な化学種の除去を可能にするか又は促進する、任意の条件を含みうる。例えば、ガス抜き環境は、実質的に不活性ガスで構成されうる。このように使用される場合、「実質的に~で構成される(consists essentially of)」とは、堆積された膜のアウトガスに干渉するガス状種がないことを意味する。他の反応種が膜のガス抜きを妨げることなく存在することもあるが、それでも、実質的に不活性ガスで構成される。一部の実行形態では、不活性ガス抜き環境は、堆積された膜において化学反応が実質的に発生しない環境である。例えば、堆積された膜と反応しうる化学種が、実質的に存在しないことがある。一部の実行形態では、ガス抜き環境で、アウトガス可能な化学種のガス抜きを引き起こすために、UV光、プラズマ、又はマイクロ波の放射が使用されることはない。
一又は複数の実行形態では、アモルファスシリコン膜は、化学気相堆積プロセスによって堆積される。化学気相堆積(CVD)プロセスは原子層堆積(ALD)とは異なる(ただし、類似の処理チャンバが使用されうる)。ALDプロセスは、二元(又はより高次の)反応を使用して材料の単一層が堆積される、自己制限プロセスである。このプロセスは、基板表面上の使用可能な全ての活性部位が反応し終えるまで継続する。CVDプロセスは自己制限型ではなく、膜は、所定のいかなる厚さまでも成長しうる。
好適な不活性ガスは、アルゴン、ヘリウム、窒素、及び/又はこれらの混合物のうちの一又は複数を含むが、それらに限定されるわけではない。一部の実行形態では、不活性ガス抜き環境は、酸素を実質的に含まない。このように使用される場合、「酸素を実質的に含まない(substantially no oxygen)」とは、不活性ガス抜き環境が、基板表面に隣接する周囲条件において、(原子ベースで)約1%未満の酸素原子しか有さないことを意味する。
一又は複数の実行形態では、アウトガス可能な化学種は水素を含む。このように使用される場合、水素を含むアウトガス可能な化学種とは、水素、SiH、SiH、SiH、及び/又はその他の低次シランのうちの一又は複数を含みうる。
処理チャンバ又は処理チャンバの一領域の中の圧力は、前駆体曝露とガス抜き環境のために個別に制御されうる。一部の実行形態では、シリコン前駆体とガス抜き環境のそれぞれへの曝露は、約50 mTorr~約200Torrの範囲内の圧力において行われる。一部の実行形態では、約500mTorr以上、又は約1Torr以上、又は約5Torr以上、又は約10Torr以上、又は約20Torr以上、又は約30Torr以上の圧力において、シリコン前駆体が基板を曝露する。
基板表面が前駆体又はガス抜き環境に曝露される温度は、例えば、形成されるデバイスと前駆体との熱収支に応じて変更されうる。一部の実行形態では、前駆体とガス抜き環境のそれぞれへの曝露は、摂氏約350度~摂氏約700度の範囲内の温度において行われる。一又は複数の実行形態では、摂氏約375度~摂氏約600度の範囲内、又は摂氏約400度~摂氏約550度の範囲内の温度において、ハロゲン化シリコン前駆体が基板を曝露する。
一部の実行形態では、ジシランベースの共形a-Siプロセスでは、摂氏約450度を下回る基板温度で堆積が行われ、ジシラン分圧は約20Torr以上にされる。例示的な一実行形態では、基板は、約20Torr以上の圧力、摂氏約400度~摂氏約550度の範囲内の温度において、シリコン前駆体に曝露される。
アモルファスシリコン層340がパターニングされたフィーチャ321上に共形に堆積された後、工程260において、基板300の上側表面のエリア311を露出させ、かつ、パターニングされたフィーチャ321の上側表面を露出させるために、アモルファスシリコン層340が異方性エッチング(垂直エッチング)される。その結果、(犠牲構造物層320から形成された)パターニングされたフィーチャ321は、図3Dに示しているように、アモルファスシリコンベースの非犠牲側壁スペーサ341によって保護されることになる。
工程270において、(犠牲構造物層320から形成された)パターニングされたフィーチャ321が、従来型のプラズマエッチングプロセス又は他の好適な湿式剥離プロセスを使用して除去され、図3Eに示しているように、アモルファスシリコンベースの非犠牲側壁スペーサ341が残る。プラズマエッチングプロセスは、基板の上方のプラズマにフッ素ベースのエッチング化学作用を導入することによって行われうる。材料品質及びカバレッジが向上したことにより、アモルファスシリコンベースの非犠牲側壁スペーサ341は損傷を受けない。アモルファスシリコンベースの非犠牲側壁スペーサ341は、フッ素ベースの反応性エッチング化学作用又は湿式剥離ベースの化学作用に対して、非常に良好な選択性を有するからである。パターニングされたフィーチャ321が除去されると、残っているアモルファスシリコンベースの非犠牲側壁スペーサ341は、下にある層、積層体、又は構造物をエッチングするためのハードマスクとして使用されうる。特に、このパターニングプロセスによるアモルファスシリコンベースの非犠牲側壁スペーサ341の密度は、パターニングされたフィーチャ321の密度の二倍になり、アモルファスシリコンベースの非犠牲側壁スペーサ341のピッチはパターニングされたフィーチャ321のピッチの半分になる。アモルファスシリコンベースの非犠牲側壁スペーサ341は、下にある材料層をパターニングするためのハードマスクとして使用されうる。
図4は、本書に記載の実行形態による、スペーサを形成するための方法400の別の実行形態を示すプロセスフロー図である。図5A-5Fは、図4のプロセスフロー図により形成される構造物の概略側面図である。この形成プロセスは、プラズマを用いて又はプラズマを用いずに、行われうる。堆積は、熱CVDプロセス又はPECVDプロセスを通じて行われうる。例示のために、自己整合二重パターニングプロセスが選定されると想定される。本開示の構想は、他のプロセス、例えば、様々な半導体プロセス(例えばNANDフラッシュ応用、DRAM応用、又はCMOS応用など)において必要に応じて、ライン幅及び間隔が変動可能な保護スペーサの使用又は保護犠牲層の使用を伴いうる、シングルパターニング方式又はデュアルパターニング方式(例えばビア/孔狭小化プロセス、自己整合三重パターニング(SATP)プロセス、又は自己整合四重パターニング(SAQP)プロセスなど)にも、等しく適用可能である。
方法400は、工程410において、基板500上に犠牲構造物層520を形成することによって始まる。この基板は、基板190と同様のものでありうる。犠牲構造物層520は、シリコンベースの材料(酸化ケイ素、窒化ケイ素、又はポリシリコンなど)でありうる。あるいは、犠牲構造物層520は、炭素ベースの材料(アモルファスカーボンなど)でありうる。炭素ベースの犠牲構造物層が求められる場合、犠牲構造物層520は、アモルファスカーボンと水素との組み合わせ(水素化アモルファスカーボン膜)でありうる。例示的なアモルファスカーボン膜の1つは、カリフォルニア州Santa ClaraのApplied Materials,Inc.から市販されている、剥離可能なアドバンスドパターニングフィルムTM(APF)材料でありうる。犠牲構造物層520に使用される材料の選定は、その上に形成される共形保護層に対してのエッチング/灰化速度に応じて変わりうると、想定される。図示していないが、炭素ベースの犠牲構造物層が使用される、ある種の実行形態では、リソグラフィパターニングプロセスにおける光の反射を制御するために、一又は複数の反射防止コーティング層が、炭素ベースの犠牲構造物層の上に堆積されうる。好適な反射防止コーティング層は、二酸化ケイ素、酸窒化ケイ素、窒化ケイ素、又はこれらの組み合わせを含みうる。例示的な反射防止コーティング層の1つは、カリフォルニア州Santa ClaraのApplied Materials,Inc.から市販されている、DARCTM材料でありうる。
基板500は、図示しているように、実質的に平らな表面523を有しうる。あるいは、基板500は、パターニングされた構造物を有してよく、表面にトレンチ、孔、又はビアが形成されている。基板500は、単一体として図示されているが、半導体デバイス(金属接点、トレンチ隔離部、ゲート、ビット線、又は他の任意の相互接続フィーチャなど)の形成に使用される一又は複数の材料を含有しうる。一実行形態では、基板500は、半導体デバイスを製造するために利用される、一又は複数の金属層、一又は複数の誘電体材料、半導体材料、及びこれらの組み合わせを含みうる。例えば、基板500は、用途に応じて、酸化物材料、窒化物材料、ポリシリコン材料などを含みうる。メモリ応用が求められる場合、基板500は、シリコン基板材料と、酸化物材料と、窒化物材料とを含みうる(間にポリシリコンが挟持されることも、されないこともある)。
工程420において、図5Aに示しているように、レジスト層530(フォトレジスト材料など)が、犠牲構造物層520上に堆積される。
工程430において、図5Bに示しているように、犠牲構造物層520から形成された、パターニングされたフィーチャ521が、標準的なフォトリソグラフィとエッチングの技法を使用して、基板500上に作製される。パターニングされたフィーチャは、任意の好適な材料(例えば、二酸化ケイ素、酸窒化ケイ素といった酸化物、又は、窒化ケイ素などの窒化物)から形成されうる。パターニングされたフィーチャは、時にプレースホルダ、マンドレル、又はコアと称され、使用されるフォトレジスト材料に基づいて、特定のライン幅及び/又は間隔を有する。パターニングされたフィーチャ521の幅は、レジスト層530にトリミングプロセスを行うことによって調整されうる。パターンが犠牲構造物層520に転写された後に、残留しているフォトレジスト材料及び(使用されたのであれば)ハードマスク材料があれば、それらは、好適なフォトレジスト剥離プロセスを使用して除去される。
工程440において、パターニングされたフィーチャ521上にSAM535を形成するために、基板500がSAM前駆体に曝露される。理論によって制約されるわけではないが、SAM535はアモルファスシリコン層とパターニングされたフィーチャ521との接着を向上させると、考えられている。SAM535は、アモルファスシリコン層とパターニングされたフィーチャ521とを固着させるのに役立つと、考えられている。使用される材料及び使用されるSAM前駆体に応じて、SAM前駆体は、溶液ベースの前駆体又はガス状前駆体でありうる。SAM前駆体は、SAM分子か、SAM分子を形成する前駆体か、又はその両方を含みうる。吸着されたSAM分子がSAM535を形成する。
SAM535はSAM分子の組織化された層を含み、この層は両親媒性であってよく、層内では、分子の一端(頭部基)が、パターニングされたフィーチャ521を形成する酸化ケイ素材料に対する固有の可逆的親和性を示す。頭部基は典型的にはアルキル鎖に接続され、アルキル鎖においては、末端「R」が官能化されうる。SAM535は、パターニングされたフィーチャ521への頭部基の化学吸着と、それに続く、疎水性尾部基の二次元組織化によって形成される。SAM分子を含有する希釈溶液に基板500を浸漬することによって、溶液からのSAM吸着が生じうる。一実行形態では、SAM535は、スピンコーティングを通じて、溶液から堆積される。SAM吸着は、基板500をガス状前駆体に暴露することによる気相堆積からも生じうる。SAM535の厚さは、SAM分子のアルキル鎖の炭素鎖長を調整することによって、調整されうる。概括的に、SAM535は、SAM分子との化学反応能力を有する表面上にのみ形成されうる。
図5Cに示している実行形態では、SAM535を形成するために利用されるSAM前駆体は、パターニングされたフィーチャ521(例えば酸化ケイ素材料)の露出した表面、及び後続堆積されるアモルファスシリコン層の当接表面とだけ、化学反応するよう選択される。そのようにすることで、SAM535は、主として、パターニングされたフィーチャ521の露出した表面上に形成されうる。
利用されうるSAMの例は、本書に記載の材料であって、かかる材料の組み合わせ、混合物、及びグラフトを含む材料に加えて、半導体製造プロセスにおいて後続堆積される材料の堆積を阻害するのに適した特性を有する、その他のSAM材料も含む。一実行形態では、SAM材料は、メチルカルボン酸、エチルカルボン酸、プロピルカルボン酸、ブチルカルボン酸、ペンチルカルボン酸、ヘキシルカルボン酸、ヘプチルカルボン酸、オクチルカルボン酸、ノニルカルボン酸、デシルカルボン酸、ウンデシルカルボン酸、ドデシルカルボン酸、トリデシルカルボン酸、テトラデシルカルボン酸、ペンタデシルカルボン酸、ヘキサデシルカルボン酸、ヘプタデシルカルボン酸、オクタデシルカルボン酸、及びノナデシルカルボン酸といった、カルボン酸材料でありうる。
別の実行形態では、SAM材料は、メチルホスホン酸、エチルホスホン酸、プロピルホスホン酸、ブチルホスホン酸、ペンチルホスホン酸、ヘキシルホスホン酸、ヘプチルホスホン酸、オクチルホスホン酸、ノニルホスホン酸、デシルホスホン酸、ウンデシルホスホン酸、ドデシルホスホン酸、トリデシルホスホン酸、テトラデシルホスホン酸、ペンタデシルホスホン酸、ヘキサデシルホスホン酸、ヘプタデシルホスホン酸、オクタデシルホスホン酸、及びノナデシルホスホン酸といった、ホスホン酸材料でありうる。
別の実行形態では、SAM材料は、メタンチオール、エタンチオール、プロパンチオール、ブタンチオール、ペンタンチオール、ヘキサンチオール、ヘプタンチオール、オクタンチオール、ノナンチオール、デカンチオール、ウンデカンチオール、ドデカンチオール、トリデカンチオール、テトラデカンチオール、ペンタデカンチオール、ヘキサデカンチオール、ヘプタデカンチオール、オクタデカンチオール、及びノナデカンチオールといった、チオール材料でありうる。
別の実行形態では、SAM材料は、トリス(ジメチルアミノ)メチルシラン、トリス(ジメチルアミノ)エチルシラン、トリス(ジメチルアミノ)プロピルシラン、トリス(ジメチルアミノ)ブチルシラン、トリス(ジメチルアミノ)ペンチルシラン、トリス(ジメチルアミノ)ヘキシルシラン、トリス(ジメチルアミノ)ヘプチルシラン、トリス(ジメチルアミノ)オクチルシラン、トリス(ジメチルアミノ)ノニルシラン、トリス(ジメチルアミノ)デシルシラン、トリス(ジメチルアミノ)ウンデシルシラン、トリス(ジメチルアミノ)ドデシルシラン、トリス(ジメチルアミノ)トリデシルシラン、トリス(ジメチルアミノ)テトラデシルシラン、トリス(ジメチルアミノ)ペンタデシルシラン、トリス(ジメチルアミノ)ヘキサデシルシラン、トリス(ジメチルアミノ)ヘプタデシルシラン、トリス(ジメチルアミノ)オクタデシルシラン、及びトリス(ジメチルアミノ)ノナデシルシラン(tris(dimethylamino)nonadecylsilane)といった、シリルアミン材料でありうる。
別の実行形態では、SAM前駆体は、メチルトリクロロシラン、エチルトリクロロシラン、プロピルトリクロロシラン、ブチルトリクロロシラン、ペンチルトリクロロシラン、ヘキシルトリクロロシラン、ヘプチルトリクロロシラン、オクチルトリクロロシラン、ノニルトリクロロシラン、デシルトリクロロシラン、ウンデシルトリクロロシラン、ドデシルトリクロロシラン、トリデシルトリクロロシラン、テトラデシルトリクロロシラン、ペンタデシルトリクロロシラン、ヘキサデシルトリクロロシラン、ヘプタデシルトリクロロシラン、オクタデシルトリクロロシラン、及びノナデシルトリクロロシランといった、クロロシラン材料でありうる。
別の実行形態では、SAM材料は、メチルトリメトキシシラン、メチルトリエトキシシラン、エチルトリメトキシシラン、エチルトリエトキシシラン、プロピルトリメトキシシラン、プロピルトリエトキシシラン、ブチルトリメトキシシラン、ブチルトリエトキシシラン、ペンチルトリメトキシシラン、ペンチルトリエトキシシラン、ヘキシルトリメトキシシラン、ヘキシルトリエトキシシラン、ヘプチルトリメトキシシラン、ヘプチルトリエトキシシラン、オクチルトリメトキシシラン、オクチルトリエトキシシラン、ノニルトリメトキシシラン、ノニルトリエトキシシラン、デシルトリメトキシシラン、デシルトリエトキシシラン、ウンデシルトリメトキシシラン、ウンデシルトリエトキシシラン、ドデシルトリメトキシシラン、ドデシルトリエトキシシラン、トリデシルトリメトキシシラン、トリデシルトリエトキシシラン、テトラデシルトリメトキシシラン、テトラデシルトリエトキシシラン、ペンタデシルトリメトキシシラン、ペンタデシルトリエトキシシラン、ヘキサデシルトリメトキシシラン、ヘキサデシルトリエトキシシラン、ヘプタデシルトリメトキシシラン、ヘプタデシルトリエトキシシラン、オクタデシルトリメトキシシラン、オクタデシルトリエトキシシラン、ノナデシルトリメトキシシラン、及びノナデシルトリエトキシシランといった、オキシラン材料でありうる。
別の実行形態では、SAM材料は、他にもあるが中でも、(1,1,2,2-ペルフルオロデシル)トリクロロシラン、トリクロロ(1,1,2,2-ペルフルオロオクチル)シラン、(トリデカ-フルオロ-1,1,2,2-テトラヒドロオクチル)トリクロロシラン、(トリデカフルオロ-1,1,2,2-テトラヒドロ-オクチル)トリエトキシシラン、(トリデカフルオロ-1,1,2,2-テトラヒドロオクチル)メチルジクロロシラン、(トリデカフルオロ-1,1,2,2-テトラヒドロオクチル)ジメチルクロロシラン、(ヘプタデカフルオロ-1,1,2,2-テトラヒドロデシル)トリクロロシランといった、フッ素化R基を有しうる。前述した材料の組み合わせ及び混合物も、この開示の範囲内に含まれると想定される。
SAM分子を含有する希釈溶液に基板500を浸漬することによって、溶液からのSAM吸着が生じうる。一実行形態では、SAM535は、スピンコーティングを通じて、溶液から堆積される。SAM吸着は、基板500をガス状前駆体に暴露することによる気相堆積からも生じうる。SAM535の厚さは、SAM分子のアルキル鎖の炭素鎖長を調整することによって、調整されうる。概括的に、SAM535は、SAM分子との化学反応能力を有する表面上に形成されうる。
一実行形態では、SAM吸着は気相堆積プロセスでありうる。この実行形態では、SAM分子は、摂氏約25度~摂氏約300度(例えば摂氏約125度~摂氏約200度)の温度に維持されているアンプルの中で、気化されうる。基板500は、摂氏約25度~摂氏約400度(例としては摂氏約50度~摂氏約200度、例えば摂氏約100度~摂氏約175度)の温度に維持されうる。基板処理環境(例えば処理チャンバの処理空間)の圧力は、約1mT~約1520T(例えば約5T~約600T)の圧力に維持されうる。気相SAM分子の供給を促進するためにキャリアガスが利用されてよく、このキャリアガスは、処理チャンバの容積に応じて、約25sccm~約3000sccm(例えば約50sccm~約1000sccm)の流量で供給されうる。好適なキャリアガスは、基板表面へのSAM分子の供給を促進するSAM吸着条件のもとでは概して不活性なガス(例えば希ガスなど)を含む。SAM分子は、工程440において、約1秒~約48時間(例えば約1分~約120分)の時間量にわたり、基板500を暴露しうる。
工程450において、図5Dに示しているように、アモルファスシリコン層540が、パターニングされたフィーチャ521上及び基板500の露出した表面上に、共形に又は実質的に共形に堆積される。アモルファスシリコン層540は、本書に記載の実行形態により形成される。アモルファスシリコン層540の厚さは、約5Å~約200Åでありうる。
一部の実行形態では、シリコン前駆体の分圧を最大にしつつ、基板温度を最低にすることによって、共形アモルファスシリコン(a-Si)堆積が実現されうる。好適なシリコン前駆体は、ポリシラン(Si)を含むがそれだけに限定されるわけではない。例えば、ポリシランは、ジシラン(Si)、トリシラン(Si)、テトラシラン(Si10)、イソテトラシラン、ネオペンタシラン(Si12)、シクロペンタシラン(Si10)、ヘキサシラン(C14)、シクロヘキサシラン(Si12)若しくは一般にx=2以上であるSi、又はこれらの組み合わせを含む。例えば、中程度の処理温度と高い蒸気圧とを有するジシランが、単独で、又は他の化学種と組み合わされて、シリコン前駆体として使用されうる。
一部の実行形態では、シリコン前駆体は、実質的にジシランのみを含む。本書で使用される場合、「実質的にジシランのみ(substantially only disilane)」という表現は、活性種の少なくとも95%がジシランであることを意味する。この分量において、他のガス(キャリアガス及び不活性ガスなど)も含まれうる。
堆積された膜は、膜から発生しうるか又はアウトガスしうるアウトガス可能な化学種(水素など)を含む。不活性ガス抜き環境により、ガス状種が発生する機会がもたらされ、完成膜の起泡が最少化される。不活性ガス抜き環境は、膜のアウトガス可能な化学種の除去を可能にするか又は促進する、任意の条件を含みうる。例えば、ガス抜き環境は、実質的に不活性ガスで構成されうる。このように使用される場合、「実質的に~で構成される(consists essentially of)」とは、堆積された膜のアウトガスに干渉するガス状種がないことを意味する。他の反応種が膜のガス抜きを妨げることなく存在することもあるが、それでも、実質的に不活性ガスで構成される。一部の実行形態では、不活性ガス抜き環境は、堆積された膜において化学反応が実質的に発生しない環境である。例えば、堆積された膜と反応しうる化学種が、実質的に存在しないことがある。一部の実行形態では、ガス抜き環境で、アウトガス可能な化学種のガス抜きを引き起こすために、UV光、プラズマ、又はマイクロ波の放射が使用されることはない。
一又は複数の実行形態では、アモルファスシリコン膜は、化学気相堆積プロセスによって堆積される。化学気相堆積(CVD)プロセスは原子層堆積(ALD)とは異なる(ただし、類似の処理チャンバが使用されうる)。ALDプロセスは、二元(又はより高次の)反応を使用して材料の単一層が堆積される、自己制限プロセスである。このプロセスは、基板表面上の使用可能な全ての活性部位が反応し終えるまで継続する。CVDプロセスは自己制限型ではなく、膜は、所定のいかなる厚さまでも成長しうる。
好適な不活性ガスは、アルゴン、ヘリウム、窒素、及び/又はこれらの混合物のうちの一又は複数を含むが、それらに限定されるわけではない。一部の実行形態では、不活性ガス抜き環境は、酸素を実質的に含まない。このように使用される場合、「酸素を実質的に含まない(substantially no oxygen)」とは、不活性ガス抜き環境が、基板表面に隣接する周囲条件において、(原子ベースで)約1%未満の酸素原子しか有さないことを意味する。
一又は複数の実行形態では、アウトガス可能な化学種は水素を含む。このように使用される場合、水素を含むアウトガス可能な化学種とは、水素、SiH、SiH、SiH、及び/又はその他の低次シランのうちの一又は複数を含みうる。
処理チャンバ又は処理チャンバの一領域の中の圧力は、前駆体曝露とガス抜き環境のために個別に制御されうる。一部の実行形態では、シリコン前駆体とガス抜き環境のそれぞれへの曝露は、約50 mTorr~約200Torrの範囲内の圧力において行われる。一部の実行形態では、約500mTorr以上、又は約1Torr以上、又は約5Torr以上、又は約10Torr以上、又は約20Torr以上、又は約30Torr以上の圧力において、シリコン前駆体が基板を曝露する。
基板表面が前駆体又はガス抜き環境に曝露される温度は、例えば、形成されるデバイスと前駆体との熱収支に応じて変更されうる。一部の実行形態では、前駆体とガス抜き環境のそれぞれへの曝露は、摂氏約350度~摂氏約700度の範囲内の温度において行われる。一又は複数の実行形態では、摂氏約375度~摂氏約600度の範囲内、又は摂氏約400度~摂氏約550度の範囲内の温度において、ハロゲン化シリコン前駆体が基板を曝露する。
一部の実行形態では、ジシランベースの共形a-Siプロセスでは、摂氏約450度を下回る基板温度で堆積が行われ、ジシラン分圧は約20Torr以上にされる。例示的な一実行形態では、基板は、約20Torr以上の圧力、摂氏約400度~摂氏約550度の範囲内の温度において、シリコン前駆体に曝露される。
アモルファスシリコン層540がパターニングされたフィーチャ521上に共形に堆積された後、工程460において、基板500の上側表面のエリア511を露出させ、かつ、パターニングされたフィーチャ521の上側表面を露出させるために、アモルファスシリコン層540が異方性エッチング(垂直エッチング)される。その結果、(犠牲構造物層520から形成された)パターニングされたフィーチャ521は、図5Eに示しているように、アモルファスシリコンベースの非犠牲側壁スペーサ541によって保護されることになる。
工程470において、(犠牲構造物層520から形成された)パターニングされたフィーチャ521が、従来型のプラズマエッチングプロセス又は他の好適な湿式剥離プロセスを使用して除去され、図5Fに示しているように、アモルファスシリコンベースの非犠牲側壁スペーサ541が残る。プラズマエッチングプロセスは、基板の上方のプラズマにフッ素ベースのエッチング化学作用を導入することによって行われうる。材料品質及びカバレッジが向上したことにより、アモルファスシリコンベースの非犠牲側壁スペーサ541は損傷を受けない。アモルファスシリコンベースの非犠牲側壁スペーサ541は、フッ素ベースの反応性エッチング化学作用又は湿式剥離ベースの化学作用に対して、非常に良好な選択性を有するからである。パターニングされたフィーチャ521が除去されると、残っているアモルファスシリコンベースの非犠牲側壁スペーサ541は、下にある層、積層体、又は構造物をエッチングするためのハードマスクとして使用されうる。特に、このパターニングプロセスによるアモルファスシリコンベースの非犠牲側壁スペーサ541の密度は、フォトリソグラフィでパターニングされたフィーチャ521の密度の二倍になり、アモルファスシリコンベースの非犠牲側壁スペーサ541のピッチはパターニングされたフィーチャ521のピッチの半分になる。アモルファスシリコンベースの非犠牲側壁スペーサ541は、下にある材料層をパターニングするためのハードマスクとして使用されうる。
図6は、本書に記載の実行形態による、スペーサを形成するための方法600の別の実行形態を示すプロセスフロー図である。方法600は、方法200及び方法400に類似しているが、方法200のプラズマ処理プロセスと方法400のSAM形成の両方を取り込んでいる点については、その限りではない。工程610において、工程210及び工程410と同様に、基板上に犠牲構造物層が形成される。工程620において、工程220及び工程420と同様に、犠牲構造物層上にレジスト層が形成される。工程630において、工程230及び工程430と同様に、犠牲構造物層からパターニングされたフィーチャが形成される。工程640において、工程240と同様に、パターニングされたフィーチャはプラズマで処理される。工程650において、工程440と同様に、パターニングされたフィーチャ上にSAMが形成される。工程660において、工程250及び工程450と同様に、パターニングされたフィーチャ上及び基板の露出した表面上に、アモルファスシリコン層が形成される。工程670において、工程260及び工程460と同様に、アモルファスシリコン層が、指向性エッチングプロセスを使用してエッチングされる。アモルファスシリコンの非犠牲側壁スペーサを提供するために、工程680において、アモルファスシリコンの側壁スペーサの中にあるパターニングされたフィーチャが除去される。
要約すると、本開示の一部の実行形態により、アモルファスシリコンのスペーサを形成するための改良型の方法が提供される。本書に記載の改良型の方法の一部によって、共形性が高くなり、パターン負荷が低減し、かつ、BEOL適合の処理温度がもたらされる。本書に記載の改良型の方法の一部によって、更に、下層の酸化物膜の粗さが低減し、後続堆積されるアモルファスシリコン膜との接着が向上する。一部の実行形態では、下層の酸化物膜はプラズマ処理に曝露される。これにより、後続堆積されるアモルファスシリコン膜の粗さが低減するだけでなく、下層の酸化物膜とアモルファスシリコン膜との間の接着が向上する。一部の実行形態では、下にある酸化物層の上に、後続堆積されるアモルファスシリコン層との接着を向上させるために、SAMが形成される。
本開示の要素、又はそれらの例示的な態様若しくは実行形態(複数可)を紹介する場合の、「1つの(a、an)」及び「前記(the、said)」という冠詞は、要素のうちの一又は複数が存在していることを意味するためのものである。
「備える(comprising)」、「含む(including)」、及び「有する(having)」という語は、包括的であることが意図されており、列挙された要素以外にも追加の要素がありうることを意味する。
以上の記述は本開示の実行形態を対象としているが、本開示の基本的な範囲から逸脱しなければ、本開示の他の実行形態及び更なる実行形態が考案されてよく、本開示の範囲は、以下の特許請求の範囲によって決まる。

Claims (15)

  1. 処理チャンバ内で基板上にアモルファスシリコン層を形成する方法であって、
    基板の上に、所定の厚さの犠牲誘電体層を堆積させることと、
    前記犠牲誘電体層を部分的に除去して前記基板の上側表面を露出させることによって、前記基板上に、パターニングされたフィーチャを形成することと、
    前記パターニングされたフィーチャ上に自己集合単層(SAM)を形成することと
    前記SAM上及び前記基板の露出した前記上側表面上に、アモルファスシリコン層を堆積させることと、
    前記アモルファスシリコン層から形成された側壁スペーサの中が前記パターニングされたフィーチャで満たされているようにするために、異方性エッチングプロセスを使用して、前記パターニングされたフィーチャの上側表面及び前記基板の前記上側表面から前記アモルファスシリコン層を選択的に除去することとを含む、
    方法。
  2. 前記パターニングされたフィーチャ上に前記SAMを形成する前に、前記パターニングされたフィーチャにプラズマ処理を実施することを更に含み、前記プラズマ処理を実施することが、
    前記処理チャンバ内へと処理ガスを流すことと、
    前記処理チャンバ内でプラズマを生成して、前記基板の前記パターニングされたフィーチャを処理することとを含む、請求項1に記載の方法。
  3. 前記処理ガスがアルゴンを含む、請求項2に記載の方法。
  4. 前記処理ガスが、アルゴン、水素、窒素、亜酸化窒素、アンモニア、及びこれらの組み合わせ、のうちの1つを含む、請求項2に記載の方法。
  5. 前記パターニングされたフィーチャにプラズマ処理を実施することと、前記パターニングされたフィーチャ上及び前記基板の前記露出した上側表面上に前記アモルファスシリコン層を堆積させることが、同じ処理チャンバ内で実施される、請求項1に記載の方法。
  6. 前記犠牲誘電体層が酸化ケイ素を含む、請求項1に記載の方法。
  7. 前記パターニングされたフィーチャ上及び前記基板の前記露出した上側表面上に前記アモルファスシリコン層を堆積させることが、前記パターニングされたフィーチャをシリコン前駆体に曝露して、水素を含むアウトガス可能な化学種を有するアモルファスシリコン膜を形成することを含む、請求項1に記載の方法。
  8. 前記アモルファスシリコン膜から前記アウトガス可能な化学種を除去してガス抜き済みのアモルファスシリコン膜を形成するために、前記アモルファスシリコン膜を不活性ガス抜き環境に曝露することを更に含む、請求項7に記載の方法。
  9. 前記シリコン前駆体が、ジシラン、トリシラン、テトラシラン、イソテトラシラン、ネオペンタシラン、シクロペンタシラン、ヘキサシラン、シクロヘキサシラン、及びこれらの組み合わせ、のうちの一又は複数を含む、請求項8に記載の方法。
  10. 前記シリコン前駆体がジシランである、請求項9に記載の方法。
  11. 前記不活性ガス抜き環境が実質的に不活性ガスで構成されている、請求項8に記載の方法。
  12. 前記不活性ガスが、アルゴン、ヘリウム、及び窒素のうちの一又は複数を含む、請求項11に記載の方法。
  13. 前記シリコン前駆体と前記不活性ガス抜き環境のそれぞれへの曝露が、約50mTorr~約200Torrの範囲内の圧力において行われる、請求項12に記載の方法。
  14. 前記シリコン前駆体と前記不活性ガス抜き環境のそれぞれへの曝露が、摂氏約350度~摂氏約700度の範囲内の温度において行われる、請求項13に記載の方法。
  15. 前記シリコン前駆体と前記不活性ガス抜き環境へのそれぞれの曝露により、前記ガス抜き済みのアモルファスシリコン膜が、約5Å~約20Åの範囲内の厚さを有するように成長する、請求項14に記載の方法。
JP2019554823A 2017-04-07 2018-03-21 酸化ケイ素の核形成/接着の向上により膜粗さを改善するための処理アプローチ Active JP7194116B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201762482872P 2017-04-07 2017-04-07
US62/482,872 2017-04-07
PCT/US2018/023474 WO2018187034A1 (en) 2017-04-07 2018-03-21 Treatment approach to improve film roughness by improving nucleation/adhesion of silicon oxide

Publications (3)

Publication Number Publication Date
JP2020517098A JP2020517098A (ja) 2020-06-11
JP2020517098A5 JP2020517098A5 (ja) 2021-05-06
JP7194116B2 true JP7194116B2 (ja) 2022-12-21

Family

ID=63711859

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2019554823A Active JP7194116B2 (ja) 2017-04-07 2018-03-21 酸化ケイ素の核形成/接着の向上により膜粗さを改善するための処理アプローチ

Country Status (5)

Country Link
US (1) US10276379B2 (ja)
JP (1) JP7194116B2 (ja)
KR (1) KR102492223B1 (ja)
CN (1) CN110419093B (ja)
WO (1) WO2018187034A1 (ja)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP7242631B2 (ja) * 2017-07-24 2023-03-20 アプライド マテリアルズ インコーポレイテッド 酸化ケイ素上の超薄型アモルファスシリコン膜の連続性を向上させるための前処理手法
KR20190035036A (ko) * 2017-09-25 2019-04-03 삼성전자주식회사 박막 형성 장치 및 이를 이용한 비정질 실리콘 막 형성방법
KR102578827B1 (ko) * 2018-04-24 2023-09-15 삼성전자주식회사 유연한 유무기 보호막 및 그 제조방법
US11915973B2 (en) * 2019-12-10 2024-02-27 Tokyo Electron Limited Self-assembled monolayers as sacrificial capping layers
US20210327891A1 (en) * 2020-04-16 2021-10-21 Applied Materials, Inc. Stack for 3d-nand memory cell

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000031058A (ja) 1998-07-16 2000-01-28 Ulvac Corp アモルファスシリコン薄膜製造方法
JP5160394B2 (ja) 2008-12-16 2013-03-13 株式会社テイエルブイ フロート式ドレントラップ
JP2016047777A (ja) 2014-08-27 2016-04-07 国立大学法人大阪大学 グラフェン薄膜の製造方法、並びにグラフェン薄膜を備えた電子素子およびセンサ
JP2017034233A (ja) 2015-06-08 2017-02-09 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated フィールドガイドによる埋設式露光、及び露光後ベークプロセス

Family Cites Families (39)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3181357B2 (ja) 1991-08-19 2001-07-03 株式会社東芝 半導体薄膜の形成方法および半導体装置の製造方法
JPH05160394A (ja) * 1991-10-11 1993-06-25 Sony Corp Mis型半導体装置及びその製造方法
US5273920A (en) * 1992-09-02 1993-12-28 General Electric Company Method of fabricating a thin film transistor using hydrogen plasma treatment of the gate dielectric/semiconductor layer interface
JPH07252657A (ja) * 1994-03-16 1995-10-03 Mitsubishi Heavy Ind Ltd 成膜方法
US5800878A (en) 1996-10-24 1998-09-01 Applied Materials, Inc. Reducing hydrogen concentration in pecvd amorphous silicon carbide films
US6391785B1 (en) 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
US7186630B2 (en) 2002-08-14 2007-03-06 Asm America, Inc. Deposition of amorphous silicon-containing films
US6939794B2 (en) 2003-06-17 2005-09-06 Micron Technology, Inc. Boron-doped amorphous carbon film for use as a hard etch mask during the formation of a semiconductor device
CN100345249C (zh) * 2005-04-20 2007-10-24 中山大学 一种制作硅纳米线二极管结构场发射器件的方法
US7651955B2 (en) * 2005-06-21 2010-01-26 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7662718B2 (en) 2006-03-09 2010-02-16 Micron Technology, Inc. Trim process for critical dimension control for integrated circuits
US7485572B2 (en) 2006-09-25 2009-02-03 International Business Machines Corporation Method for improved formation of cobalt silicide contacts in semiconductor devices
US7622386B2 (en) 2006-12-06 2009-11-24 International Business Machines Corporation Method for improved formation of nickel silicide contacts in semiconductor devices
JP2008192724A (ja) * 2007-02-02 2008-08-21 Konica Minolta Holdings Inc 有機薄膜トランジスタ及び有機薄膜トランジスタの製造方法
US20080254619A1 (en) 2007-04-14 2008-10-16 Tsang-Jung Lin Method of fabricating a semiconductor device
KR20090013286A (ko) 2007-08-01 2009-02-05 삼성전자주식회사 반도체 소자 제조설비
US7709396B2 (en) 2008-09-19 2010-05-04 Applied Materials, Inc. Integral patterning of large features along with array using spacer mask patterning process flow
US8525139B2 (en) 2009-10-27 2013-09-03 Lam Research Corporation Method and apparatus of halogen removal
US8247332B2 (en) 2009-12-04 2012-08-21 Novellus Systems, Inc. Hardmask materials
US8178443B2 (en) 2009-12-04 2012-05-15 Novellus Systems, Inc. Hardmask materials
KR20110064661A (ko) 2009-12-08 2011-06-15 삼성전자주식회사 반도체소자의 제조방법
US20110244142A1 (en) 2010-03-30 2011-10-06 Applied Materials, Inc. Nitrogen doped amorphous carbon hardmask
TW201216331A (en) 2010-10-05 2012-04-16 Applied Materials Inc Ultra high selectivity doped amorphous carbon strippable hardmask development and integration
US20120202315A1 (en) 2011-02-03 2012-08-09 Applied Materials, Inc. In-situ hydrogen plasma treatment of amorphous silicon intrinsic layers
CN102205942B (zh) * 2011-05-13 2015-11-04 上海集成电路研发中心有限公司 Mems牺牲层结构制造方法
US20130189845A1 (en) * 2012-01-19 2013-07-25 Applied Materials, Inc. Conformal amorphous carbon for spacer and spacer protection applications
US20130196078A1 (en) 2012-01-31 2013-08-01 Joseph Yudovsky Multi-Chamber Substrate Processing System
EP2674996A1 (en) * 2012-06-15 2013-12-18 Imec VZW Method for growing nanostructures in recessed structures
KR102296150B1 (ko) 2012-09-07 2021-08-30 어플라이드 머티어리얼스, 인코포레이티드 멀티-챔버 진공 시스템 확인 내에서의 다공성 유전체, 폴리머-코팅된 기판들 및 에폭시의 통합 프로세싱
GB201218697D0 (en) 2012-10-18 2012-11-28 Spts Technologies Ltd A method of depositing an amorphous silicon film
TW201441408A (zh) 2013-03-15 2014-11-01 Applied Materials Inc 包含氮化矽之膜的電漿輔助原子層沉積
US9171754B2 (en) 2013-05-24 2015-10-27 Globalfoundries Inc. Method including an etching of a portion of an interlayer dielectric in a semiconductor structure, a degas process and a preclean process
CN103700576B (zh) * 2013-12-17 2016-03-02 西安文理学院 一种自组装形成尺寸可控的硅纳米晶薄膜的制备方法
US9412656B2 (en) 2014-02-14 2016-08-09 Taiwan Semiconductor Manufacturing Co., Ltd. Reverse tone self-aligned contact
US9997373B2 (en) * 2014-12-04 2018-06-12 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US9865459B2 (en) 2015-04-22 2018-01-09 Applied Materials, Inc. Plasma treatment to improve adhesion between hardmask film and silicon oxide film
US9484202B1 (en) * 2015-06-03 2016-11-01 Applied Materials, Inc. Apparatus and methods for spacer deposition and selective removal in an advanced patterning process
US10418243B2 (en) 2015-10-09 2019-09-17 Applied Materials, Inc. Ultra-high modulus and etch selectivity boron-carbon hardmask films
TWI715645B (zh) 2015-10-22 2021-01-11 美商應用材料股份有限公司 正形及縫隙填充非晶矽薄膜的沉積

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000031058A (ja) 1998-07-16 2000-01-28 Ulvac Corp アモルファスシリコン薄膜製造方法
JP5160394B2 (ja) 2008-12-16 2013-03-13 株式会社テイエルブイ フロート式ドレントラップ
JP2016047777A (ja) 2014-08-27 2016-04-07 国立大学法人大阪大学 グラフェン薄膜の製造方法、並びにグラフェン薄膜を備えた電子素子およびセンサ
JP2017034233A (ja) 2015-06-08 2017-02-09 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated フィールドガイドによる埋設式露光、及び露光後ベークプロセス

Also Published As

Publication number Publication date
JP2020517098A (ja) 2020-06-11
KR102492223B1 (ko) 2023-01-25
CN110419093A (zh) 2019-11-05
US20180294157A1 (en) 2018-10-11
CN110419093B (zh) 2023-12-01
KR20190128668A (ko) 2019-11-18
WO2018187034A1 (en) 2018-10-11
US10276379B2 (en) 2019-04-30

Similar Documents

Publication Publication Date Title
JP7043539B2 (ja) 断続的な空気-水暴露による自己組織化単分子膜のブロッキングの改良
JP7194116B2 (ja) 酸化ケイ素の核形成/接着の向上により膜粗さを改善するための処理アプローチ
TWI794133B (zh) 形成氮碳氧化矽薄膜的方法
JP7242631B2 (ja) 酸化ケイ素上の超薄型アモルファスシリコン膜の連続性を向上させるための前処理手法
KR102513424B1 (ko) 스페이서 및 하드마스크 애플리케이션을 위한 실란 및 알킬실란 종으로부터의 보란 매개 탈수소화 프로세스
KR20180073483A (ko) 기판 상의 구조물 형성 방법
CN111684566A (zh) 用于氮化硅薄膜的处理方法
TWI786217B (zh) 增強選擇性沉積製程
TW201943880A (zh) 在基板上形成層以應用於選擇性沉積製程之基板處理方法
US10964587B2 (en) Atomic layer deposition for low-K trench protection during etch
CN113195786A (zh) 用于间隙填充的远程氢等离子体暴露以及掺杂或未掺杂硅碳化物沉积
TWI827709B (zh) 用於沉積摻雜磷之氮化矽膜的方法
TWI834919B (zh) 氧化矽之拓撲選擇性膜形成之方法
US20230395391A1 (en) Ruthenium carbide for dram capacitor mold patterning

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210322

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20210322

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20220311

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20220405

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20220701

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20221115

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20221209

R150 Certificate of patent or registration of utility model

Ref document number: 7194116

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150