TW201718435A - 基於稀土族氧化物的整體式腔室材料 - Google Patents

基於稀土族氧化物的整體式腔室材料 Download PDF

Info

Publication number
TW201718435A
TW201718435A TW106103899A TW106103899A TW201718435A TW 201718435 A TW201718435 A TW 201718435A TW 106103899 A TW106103899 A TW 106103899A TW 106103899 A TW106103899 A TW 106103899A TW 201718435 A TW201718435 A TW 201718435A
Authority
TW
Taiwan
Prior art keywords
mole
concentration
article
zro
mol
Prior art date
Application number
TW106103899A
Other languages
English (en)
Other versions
TWI632125B (zh
Inventor
孫語南
卡農哥比拉賈P
Original Assignee
應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 應用材料股份有限公司 filed Critical 應用材料股份有限公司
Publication of TW201718435A publication Critical patent/TW201718435A/zh
Application granted granted Critical
Publication of TWI632125B publication Critical patent/TWI632125B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B35/00Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products
    • C04B35/50Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on rare-earth compounds
    • C04B35/505Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on rare-earth compounds based on yttrium oxide
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B35/00Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products
    • C04B35/50Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on rare-earth compounds
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B35/00Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products
    • C04B35/622Forming processes; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B35/00Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products
    • C04B35/622Forming processes; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products
    • C04B35/62222Forming processes; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products obtaining ceramic coatings
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B35/00Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products
    • C04B35/622Forming processes; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products
    • C04B35/626Preparing or treating the powders individually or as batches ; preparing or treating macroscopic reinforcing agents for ceramic products, e.g. fibres; mechanical aspects section B
    • C04B35/62605Treating the starting powders individually or as mixtures
    • C04B35/62645Thermal treatment of powders or mixtures thereof other than sintering
    • C04B35/62655Drying, e.g. freeze-drying, spray-drying, microwave or supercritical drying
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B37/00Joining burned ceramic articles with other burned ceramic articles or other articles by heating
    • C04B37/001Joining burned ceramic articles with other burned ceramic articles or other articles by heating directly with other burned ceramic articles
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B37/00Joining burned ceramic articles with other burned ceramic articles or other articles by heating
    • C04B37/02Joining burned ceramic articles with other burned ceramic articles or other articles by heating with metallic articles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/221Ion beam deposition
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C4/00Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge
    • C23C4/04Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge characterised by the coating material
    • C23C4/10Oxides, borides, carbides, nitrides or silicides; Mixtures thereof
    • C23C4/11Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C4/00Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge
    • C23C4/12Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge characterised by the method of spraying
    • C23C4/134Plasma spraying
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • H01L21/6833Details of electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68757Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a coating or a hardness or a material
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B2235/00Aspects relating to ceramic starting mixtures or sintered ceramic products
    • C04B2235/02Composition of constituents of the starting material or of secondary phases of the final product
    • C04B2235/30Constituents and secondary phases not being of a fibrous nature
    • C04B2235/32Metal oxides, mixed metal oxides, or oxide-forming salts thereof, e.g. carbonates, nitrates, (oxy)hydroxides, chlorides
    • C04B2235/3217Aluminum oxide or oxide forming salts thereof, e.g. bauxite, alpha-alumina
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B2235/00Aspects relating to ceramic starting mixtures or sintered ceramic products
    • C04B2235/02Composition of constituents of the starting material or of secondary phases of the final product
    • C04B2235/30Constituents and secondary phases not being of a fibrous nature
    • C04B2235/32Metal oxides, mixed metal oxides, or oxide-forming salts thereof, e.g. carbonates, nitrates, (oxy)hydroxides, chlorides
    • C04B2235/3224Rare earth oxide or oxide forming salts thereof, e.g. scandium oxide
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B2235/00Aspects relating to ceramic starting mixtures or sintered ceramic products
    • C04B2235/02Composition of constituents of the starting material or of secondary phases of the final product
    • C04B2235/30Constituents and secondary phases not being of a fibrous nature
    • C04B2235/32Metal oxides, mixed metal oxides, or oxide-forming salts thereof, e.g. carbonates, nitrates, (oxy)hydroxides, chlorides
    • C04B2235/3224Rare earth oxide or oxide forming salts thereof, e.g. scandium oxide
    • C04B2235/3225Yttrium oxide or oxide-forming salts thereof
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B2235/00Aspects relating to ceramic starting mixtures or sintered ceramic products
    • C04B2235/02Composition of constituents of the starting material or of secondary phases of the final product
    • C04B2235/30Constituents and secondary phases not being of a fibrous nature
    • C04B2235/32Metal oxides, mixed metal oxides, or oxide-forming salts thereof, e.g. carbonates, nitrates, (oxy)hydroxides, chlorides
    • C04B2235/3231Refractory metal oxides, their mixed metal oxides, or oxide-forming salts thereof
    • C04B2235/3244Zirconium oxides, zirconates, hafnium oxides, hafnates, or oxide-forming salts thereof
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B2235/00Aspects relating to ceramic starting mixtures or sintered ceramic products
    • C04B2235/02Composition of constituents of the starting material or of secondary phases of the final product
    • C04B2235/30Constituents and secondary phases not being of a fibrous nature
    • C04B2235/34Non-metal oxides, non-metal mixed oxides, or salts thereof that form the non-metal oxides upon heating, e.g. carbonates, nitrates, (oxy)hydroxides, chlorides
    • C04B2235/3418Silicon oxide, silicic acids, or oxide forming salts thereof, e.g. silica sol, fused silica, silica fume, cristobalite, quartz or flint
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B2235/00Aspects relating to ceramic starting mixtures or sintered ceramic products
    • C04B2235/65Aspects relating to heat treatments of ceramic bodies such as green ceramics or pre-sintered ceramics, e.g. burning, sintering or melting processes
    • C04B2235/656Aspects relating to heat treatments of ceramic bodies such as green ceramics or pre-sintered ceramics, e.g. burning, sintering or melting processes characterised by specific heating conditions during heat treatment
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B2235/00Aspects relating to ceramic starting mixtures or sintered ceramic products
    • C04B2235/70Aspects relating to sintered or melt-casted ceramic products
    • C04B2235/96Properties of ceramic products, e.g. mechanical properties such as strength, toughness, wear resistance
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B2235/00Aspects relating to ceramic starting mixtures or sintered ceramic products
    • C04B2235/70Aspects relating to sintered or melt-casted ceramic products
    • C04B2235/96Properties of ceramic products, e.g. mechanical properties such as strength, toughness, wear resistance
    • C04B2235/9669Resistance against chemicals, e.g. against molten glass or molten salts
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B2237/00Aspects relating to ceramic laminates or to joining of ceramic articles with other articles by heating
    • C04B2237/30Composition of layers of ceramic laminates or of ceramic or metallic articles to be joined by heating, e.g. Si substrates
    • C04B2237/32Ceramic
    • C04B2237/34Oxidic
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B2237/00Aspects relating to ceramic laminates or to joining of ceramic articles with other articles by heating
    • C04B2237/30Composition of layers of ceramic laminates or of ceramic or metallic articles to be joined by heating, e.g. Si substrates
    • C04B2237/32Ceramic
    • C04B2237/34Oxidic
    • C04B2237/341Silica or silicates
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B2237/00Aspects relating to ceramic laminates or to joining of ceramic articles with other articles by heating
    • C04B2237/30Composition of layers of ceramic laminates or of ceramic or metallic articles to be joined by heating, e.g. Si substrates
    • C04B2237/32Ceramic
    • C04B2237/34Oxidic
    • C04B2237/345Refractory metal oxides
    • C04B2237/348Zirconia, hafnia, zirconates or hafnates
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B2237/00Aspects relating to ceramic laminates or to joining of ceramic articles with other articles by heating
    • C04B2237/30Composition of layers of ceramic laminates or of ceramic or metallic articles to be joined by heating, e.g. Si substrates
    • C04B2237/40Metallic

Abstract

一種固體燒結陶瓷製品可包括固溶體,該固溶體包含約30莫耳%至約60莫耳%之濃度的Y2O3、約20莫耳%至約60莫耳%之濃度的Er2O3及約0莫耳%至約30莫耳%之濃度的ZrO2、Gd2O3或SiO2之至少一者。或者,該固體燒結陶瓷製品可包括固溶體,該固溶體包含40-100莫耳%之Y2O3、0-50莫耳%之ZrO2及0-40莫耳%之Al2O3。

Description

基於稀土族氧化物的整體式腔室材料
本發明之實施例大體而言係關於抗電漿稀土族氧化物材料,且特定言之係關於由抗電漿稀土族氧化物材料形成的固體燒結陶瓷製品。
在半導體工業中,藉由眾多用於生產尺寸日益縮小之結構的製造製程來製造元件。一些製造製程(諸如電漿蝕刻及電漿清洗製程)將基板曝露於高速電漿流中,以蝕刻或清洗基板。電漿可為強腐蝕性,且可腐蝕處理腔室及曝露於電漿中之其他表面。此腐蝕可產生常污染正經處理之基板的粒子,從而導致元件缺陷。另外,該腐蝕可引發來自腔室組件的金屬原子污染已處理的基板(例如,已處理的晶圓)。
隨著元件幾何形狀縮小,對缺陷及金屬污染的易感性增加,且粒子污染物規範及金屬污染物規範變得更加嚴格。因此,隨著元件幾何形狀縮小,可降低粒子缺陷及金屬污染之容許水平。為了最小化由電漿蝕刻及/或電漿清洗製程引入之粒子缺陷及金屬污染,已經開發出抗電漿之腔室材料。此類抗電漿材料之實例包括由Al2 O3 、AlN、SiC及Y2 O3 組成的陶瓷。然而,該等陶瓷材料之抗電漿特性可能不足以用於一些應用。舉例而言,當用於具有90 nm或以下之臨界尺寸的半導體元件之電漿蝕刻製程中時,使用傳統陶瓷製造製程所製造之抗電漿陶瓷蓋及/或噴嘴可產生不可接受的粒子缺陷水平。
一種製品,該製品包含:抗電漿陶瓷材料,該陶瓷材料包含至少一種固溶體,其中該至少一種固溶體包含約30莫耳%至約60莫耳%之濃度的Y2 O3 、約20莫耳%至約60莫耳%之濃度的Er2 O3 及約0莫耳%至約30莫耳%之濃度的ZrO2 、Gd2 O3 或SiO2 之至少一者。
一種製造固體燒結陶瓷製品之方法,該方法包含:產生複數種陶瓷粉末之混合物,該混合物包含約30莫耳%至約60莫耳%之濃度的Y2 O3 、約20莫耳%至約60莫耳%之濃度的Er2 O3 及約0莫耳%至約30莫耳%之濃度的ZrO2 、Gd2 O3 或SiO2 之至少一者;及燒結該複數種陶瓷粉末。
一種製品,該製品包含:抗電漿陶瓷材料,該陶瓷材料包含至少一種固溶體,其中該至少一種固溶體具有選自由以下組成之列表中的組成物:a)40-60莫耳%之Y2 O3 、30-50莫耳%之ZrO2 及10-20莫耳%之Al2 O3 ;b)40-50莫耳%之Y2 O3 、20-40莫耳%之ZrO2 及20-40莫耳%之Al2 O3 ;c)70-90莫耳%之Y2 O3 、0-20莫耳%之ZrO2 及10-20莫耳%之Al2 O3 ;d)60-80莫耳%之Y2 O3 、0-10莫耳%之ZrO2 及20-40莫耳%之Al2 O3 ;e)40-60莫耳%之Y2 O3 、0-20莫耳%之ZrO2 及30-40莫耳%之Al2 O3 ;以及f)40-100莫耳%之Y2 O3 、0-60莫耳%之ZrO2 及0-5莫耳%之Al2 O3
本發明之實施例係針對新型燒結陶瓷材料及係針對製造該等新型燒結陶瓷材料之方法。在實施例中,燒結陶瓷材料可具有固溶體,該固溶體包括約30莫耳%至約60莫耳%之濃度的Y2 O3 、約20莫耳%至約60莫耳%之濃度的Er2 O3 及約0莫耳%至約30莫耳%之濃度的ZrO2 、Gd2 O3 或SiO2 之至少一者。在其他實施例中,燒結陶瓷材料可具有固溶體,該固溶體包括Y2 O3 、ZrO2 及/或Al2 O3 之混合物。新型燒結陶瓷材料可用於產生電漿蝕刻反應器的腔室組件。在電漿蝕刻反應器中使用用本文所描述之新燒結陶瓷材料產生的腔室組件可使得晶圓上的金屬污染及/或粒子缺陷與用習知抗電漿陶瓷材料產生的腔室組件相比較被大大減少。詳言之,藉由使用本文實施例中所描述之陶瓷材料可大大減少釔、鋁及鋅之金屬污染。可由半導體、顯示器、光電元件、微機電系統(micro-electro-mechanical systems; MEMS)裝置等等之製造商指示已處理晶圓上的該等金屬污染物之減少。
當本文使用術語「大約」及「約」時,旨在意謂所呈標稱值之精確度在±10%內。
參考固體燒結陶瓷製品描述實施例,該等固體燒結陶瓷製品係電漿蝕刻反應器(亦稱為電漿蝕刻器)的腔室組件。舉例而言,陶瓷製品可為製程套環、腔室蓋、氣體分配板、噴灑頭、靜電夾盤及升舉銷。然而,本文所描述之固體燒結陶瓷材料亦可用於具有曝露於電漿環境中的組件之其他裝置,諸如電漿清洗器、電漿推進系統等等。此外,參考固體燒結陶瓷製品描述實施例。然而,亦將所論述實施例應用於沉積陶瓷塗層,諸如電漿噴塗的陶瓷塗層及使用離子輔助沉積(ion assisted deposition; IAD)技術所塗覆之陶瓷塗層。因此,應理解,亦將固體燒結陶瓷材料之論述應用於相同組成物之沉積陶瓷材料。
本文參考用於電漿蝕刻及/或電漿清洗製程的製程腔室中時引發減少的粒子缺陷及金屬污染之陶瓷製品描述實施例。然而,應理解,本文所論述之陶瓷製品亦可當用於其他製程的製程腔室中時提供減少的粒子缺陷及金屬污染,該等製程諸如電漿增強化學氣相沉積(plasma enhanced chemical vapor deposition; PECVD)、電漿增強物理氣相沉積(plasma enhanced physical vapor deposition; PEPVD)、電漿增強原子層沉積(plasma enhanced atomic layer deposition; PEALD)等等,及非電漿蝕刻器、非電漿清洗器、化學氣相沉積(chemical vapor deposition; CVD)熔爐、物理氣相沉積(physical vapor deposition; PVD)熔爐等等。
1 係具有一或更多個腔室組件的半導體處理腔室100之剖視圖,該等腔室組件係使用本文實施例中所提供之陶瓷材料產生的固體燒結陶瓷製品。處理腔室100可用於提供腐蝕性電漿環境的製程。舉例而言,處理腔室100可為電漿蝕刻反應器(亦稱為電漿蝕刻器)、電漿清洗器等等之腔室。可由固體燒結抗電漿陶瓷材料組成或包括固體燒結抗電漿陶瓷材料的腔室組件之實例包括靜電夾盤(electrostatic chuck; ESC) 150、環(例如,製程套環或單環)、腔室壁、氣體分配板、噴灑頭、襯墊、襯墊套組、腔室蓋104、噴嘴132等等。下文參看 2圖 更詳細地描述用於形成該等腔室組件中的一或更多者之固體燒結陶瓷材料。
在一個實施例中,處理腔室100包括圍束內部容積106的腔室主體102及蓋130。蓋130在其中心處可具有孔,及可將噴嘴132插入孔中。在一些實施例中,使用噴灑頭代替蓋130及噴嘴132。腔室主體102可由鋁、不銹鋼或其他適宜材料製成。腔室主體102大體上包括側壁108及底部110。蓋130、噴嘴132、噴灑頭等等中的任何者可包括固體燒結陶瓷材料。
外部襯墊116可鄰接於側壁108安置,以保護腔室主體102。外部襯墊116可為由基於稀土族氧化物的材料製成的抗電漿層。
可在腔室主體102中界定排氣口126,且該排氣口可將內部容積106耦接至泵送系統128。泵送系統128可包括一或更多個泵及節流閥,該等泵及節流閥用於排泄及調節處理腔室100之內部容積106之壓力。
可在腔室主體102之側壁108上支撐蓋130。可打開蓋130以允許接取至處理腔室100之內部容積106,且該蓋可在閉合時為處理腔室100提供密封。可將氣體控制板158耦接至處理腔室100以經由噴嘴132向內部容積106提供製程及/或清洗氣體。
可用於在處理腔室100中處理基板的處理氣體之實例包括含鹵素氣體(諸如C2 F6 、SF6 、SiCl4 、HBr、NF3 、CF4 、CHF3 、CH2 F3 、F、NF3 、Cl2 、CCl4 、BCl3 及SiF4 等)及其他氣體(諸如O2 或N2 O)。載氣之實例包括N2 、He、Ar及對製程氣體惰性的其他氣體(例如,不反應的氣體)。在蓋130下方的處理腔室100之內部容積106中安置基板支撐組件148。基板支撐組件148在處理期間固持基板144。環147(例如,單環)可覆蓋靜電夾盤150的一部分,及可保護覆蓋部分在處理期間避免曝露於電漿中。環147可由本文所描述之任何固體燒結陶瓷材料形成。
可在基板支撐組件148之週邊上形成內部襯墊118。內部襯墊118可為抗含鹵素氣體的材料,諸如參考外部襯墊116所論述之彼等材料。
在一個實施例中,基板支撐組件148包括支撐台座152的安裝板162,及靜電夾盤150。靜電夾盤150進一步包括導熱基座164及藉由黏合劑138黏接至導熱基座的靜電圓盤166,在一個實施例中,該黏合劑可為矽樹脂黏合劑。將安裝板162耦接至腔室主體102之底部110且該安裝板包括通道以用於將設施(例如,流體、電線、感測器導線等)佈線至導熱基座164及靜電圓盤166。
導熱基座164及/或靜電圓盤166可包括一或更多個可選嵌入式加熱構件176、嵌入式隔熱體174及/或管道168、170以控制基板支撐組件148之側面溫度輪廓。可將管道168、170流體耦接至流體源172,該流體源經由管道168、170使溫度調節流體循環。在一個實施例中,可在管道168、170之間安置嵌入式隔熱體174。藉由加熱器電源178調節加熱構件176。可利用管道168、170及加熱構件176控制導熱基座164之溫度,從而加熱及/或冷卻靜電圓盤166及正經處理之基板(例如,晶圓)144。可使用複數個溫度感測器190、192監測靜電圓盤166及導熱基座164之溫度,可使用控制器195監測該等溫度感測器。
靜電圓盤166可進一步包括諸如凹槽之多個氣體通道、凸塊及其他表面特徵結構,該等特徵結構可形成於靜電圓盤166之上表面中。可經由靜電圓盤166中所鑽鑿的孔將氣體通道流體耦接至熱傳遞(或背側)氣體(諸如He)源。在操作中,可在受控壓力下將背側氣體提供至氣體通道中以增強靜電圓盤166與基板144之間的熱傳遞。
靜電圓盤166包括由夾盤電源182控制的至少一個夾持電極180。可經由匹配電路188將至少一個夾持電極180(或安置於靜電圓盤166或導電基座164中的其他電極)進一步耦接至一或更多個RF電源184、186以便維持由處理腔室100內的製程氣體及/或其他氣體形成的電漿。RF電源184、186大體上能夠產生具有約50 kHz至約3 GHz之頻率及至多大約10000瓦特之功率的RF訊號。
2 係圖示根據本發明之一個實施例的製造固體燒結陶瓷製品之製程200的流程圖。在方塊255處,選擇用於形成陶瓷製品的陶瓷粉末。亦選擇所選陶瓷粉末的量。
在一個實施例中,所選陶瓷粉末包括Y2 O3 、Er2 O3 及一或更多種額外稀土族氧化物,該等稀土族氧化物將與Y2 O3 及Er2 O3 形成相。額外稀土族氧化物亦應為抗侵蝕的及具有高密度(低孔隙度)。可使用之額外稀土族氧化物之實例包括ZrO2 及Gd2 O3 。亦可使用非稀土族氧化物,諸如Al2 O3 與SiO2 。在一個實施例中,陶瓷粉末包括約30莫耳%(mol%)至約60莫耳%之濃度的Y2 O3 、約20莫耳%至約60莫耳%之濃度的Er2 O3 及約0莫耳%至約30莫耳%之濃度的ZrO2 、Gd2 O3 或SiO2 之至少一者。在一個實施例中,所選陶瓷粉末包括約30-60莫耳%之濃度的Y2 O3 、約20-55莫耳%之濃度的Er2 O3 ,以及至多20莫耳%之濃度的ZrO2 、至多20莫耳%之濃度的Gd2 O3 及至多30莫耳%之濃度的SiO2 之一或更多者。
可使用之陶瓷粉末之一種特定混合物(稱為實例1++)包括約40莫耳%之濃度的Y2 O3 、約5莫耳%之濃度的ZrO2 、約35莫耳%之濃度的Er2 O3 、約5莫耳%之濃度的Gd2 O3 及約15莫耳%之濃度的SiO2 。可使用之陶瓷粉末之另一特定混合物(稱為實例2++)包括約45莫耳%之濃度的Y2 O3 、約5莫耳%之濃度的ZrO2 、約35莫耳%之濃度的Er2 O3 、約10莫耳%之濃度的Gd2 O3 及約5莫耳%之濃度的SiO2 。可使用之陶瓷粉末之另一特定混合物(稱為實例3++)包括約40莫耳%之濃度的Y2 O3 、約5莫耳%之濃度的ZrO2 、約40莫耳%之濃度的Er2 O3 、約7莫耳%之濃度的Gd2 O3 及約8莫耳%之濃度的SiO2 。可使用之陶瓷粉末之另一特定混合物(稱為實例4++)包括約37莫耳%之濃度的Y2 O3 、約8莫耳%之濃度的ZrO2 及約55莫耳%之濃度的Er2 O3 。可使用之陶瓷粉末之另一特定混合物(稱為實例5++)包括約40莫耳%之濃度的Y2 O3 、約10莫耳%之濃度的ZrO2 、約30莫耳%之濃度的Er2 O3 及約20莫耳%之濃度的Gd2 O3
在一個實施例中,所選陶瓷粉末包括40-60莫耳%之Y2 O3 、30-50莫耳%之ZrO2 及10-20莫耳%之Al2 O3 。在另一實施例中,所選陶瓷粉末包括40-50莫耳%之Y2 O3 、20-40莫耳%之ZrO2 及20-40莫耳%之Al2 O3 。在另一實施例中,所選陶瓷粉末包括70-90莫耳%之Y2 O3 、0-20莫耳%之ZrO2 及10-20莫耳%之Al2 O3 。在另一實施例中,所選陶瓷粉末包括60-80莫耳%之Y2 O3 、0-10莫耳%之ZrO2 及20-40莫耳%之Al2 O3 。在另一實施例中,所選陶瓷粉末包括40-60莫耳%之Y2 O3 、0-20莫耳%之ZrO2 及30-40莫耳%之Al2 O3 。在另一實施例中,所選陶瓷粉末包括40-100莫耳%之Y2 O3 、0-60莫耳%之ZrO2 及0-5莫耳%之Al2 O3
在一個實施例中,所選陶瓷粉末包括40-100莫耳%之Y2 O3 、0-60莫耳%之ZrO2 及0-5莫耳%之Al2 O3 。在第一實例(稱為實例1+)中,所選陶瓷粉末包括73-74莫耳%之Y2 O3 及26-27莫耳%之ZrO2 。在第二實例(稱為實例2+)中,所選陶瓷粉末包括71-72莫耳%之Y2 O3 、26-27莫耳%之ZrO2 及1-2莫耳%之Al2 O3 。在第三實例(稱為實例3+)中,所選陶瓷粉末包括64-65莫耳%之Y2 O3 及35-36莫耳%之ZrO2 。在第四實例(稱為實例4+)中,所選陶瓷粉末包括63-64莫耳%之Y2 O3 、35-36莫耳%之ZrO2 及1-2莫耳%之Al2 O3 。在第五實例(稱為實例5+)中,所選陶瓷粉末包括57-58莫耳%之Y2 O3 、42-43莫耳%之ZrO2 。在第六實例(稱為實例6+)中,所選陶瓷粉末包括52-53莫耳%之Y2 O3 、47-48莫耳%之ZrO2
任何前述燒結固體可包括痕量之其他材料,該等材料諸如ZrO2 、Al2 O3 、SiO2 、B2 O3 、Er2 O3 、Nd2 O3 、Nb2 O5 、CeO2 、Sm2 O3 、Yb2 O3 或其他氧化物。
在方塊260處,混合所選陶瓷粉末。在一個實施例中,將所選粉末與水、黏合劑及解凝劑混合以形成漿料。在一個實施例中,藉由噴霧乾燥使陶瓷粉末組合成粒狀粉末。
在方塊265處,生坯(未燒結陶瓷製品)由混合粉末(例如,來自由所選陶瓷粉末之混合物形成的漿料)形成。可使用技術形成生坯,該等技術包括但不限於鑄漿成型、薄帶成形、冷等壓壓製、單向機械壓製、射出成型及擠壓。舉例而言,在一個實施例中,漿料可經噴霧乾燥、置放於模具中及壓製以形成生坯。
在方塊270處,燒結生坯。燒結生坯之步驟可包括將生坯加熱至一高溫,該高溫低於生坯中任何稀土族氧化物組分之熔點。舉例而言,若生坯包括Y2 O3 、Er2 O3 、ZrO2 、Gd2 O3 或SiO2 ,則可將生坯加熱至低於Y2 O3 、Er2 O3 、ZrO2 、Gd2 O3 及SiO2 之熔點的任一點。在一個實施例中,在燒結前,將生坯加熱至一低溫以燒掉用於形成生坯之黏合劑。可在1500-2100℃下燒結生坯經歷3-30小時(hr)之時間。
燒結製程產生固體燒結陶瓷製品,該陶瓷製品包括由各種單相陶瓷材料組分構成的至少一種固溶體。舉例而言,在一個實施例中,固體燒結陶瓷製品包括固溶體,該固溶體包括約30莫耳%至約60莫耳%之濃度的Y2 O3 、約20莫耳%至約50莫耳%之濃度的Er2 O3 及約0莫耳%至約30莫耳%之濃度的ZrO2 、Gd2 O3 或SiO2 之至少一者。
在各種實施例中,固體燒結陶瓷製品可用於電漿蝕刻反應器之不同腔室組件。取決於正製造之特定腔室組件,生坯可具有不同形狀。舉例而言,若最終腔室組件將為製程套環,則生坯可為環形。若腔室組件將為靜電夾盤之靜電圓盤,則生坯可為碟形。生坯亦可取決於將製造之腔室組件具有其他形狀。
燒結製程通常使陶瓷製品之尺寸改變不受控之量。至少部分地由於此尺寸變化,在完成燒結製程後,通常在方塊275處機械加工陶瓷製品。機器加工可包括表面研磨及/或拋光陶瓷製品、在陶瓷製品中鑽孔、將陶瓷製品切割及/或塑形、研磨陶瓷製品、拋光陶瓷製品(例如,使用化學機械平坦化(chemical mechanical planarization; CMP)、火焰拋光或其他拋光技術)、粗糙化陶瓷製品(例如,藉由珠粒噴擊)、在陶瓷製品上形成凸塊等等。
可將陶瓷製品機械加工成適合於特定應用的配置。在機械加工前,陶瓷製品可具有適合於特定目的(例如,用作電漿蝕刻器中的蓋)之粗略形狀及尺寸。然而,可執行機械加工以精確控制陶瓷製品之尺寸、形狀、維度、孔尺寸等等。
取決於待製造之特定腔室組件,可另外執行額外處理操作。在一個實施例中,額外處理操作包括將固體燒結陶瓷製品黏接至金屬主體(方塊280)。在將固體燒結陶瓷製品機械加工及黏接至金屬主體的一些情形中,可先執行機械加工,接著執行黏接。在其他情形中,可先將固體燒結陶瓷製品黏接至金屬主體,及可隨後機械加工。在其他實施例中,在黏接之前與之後皆執行一些機械加工。另外,在一些實施例中,可將固體燒結陶瓷製品黏接至另一陶瓷製品。
在第一實例中,陶瓷製品將用於噴灑頭。在此實施例中,可穿過陶瓷製品鑽鑿許多孔,及可將陶瓷製品黏接至鋁氣體分配板。在第二實例中,陶瓷製品係用於靜電夾盤。在此實施例中,穿過陶瓷製品鑽鑿氦針孔(例如,藉由雷射鑽鑿),及可藉由矽樹脂黏合劑將陶瓷製品黏接至鋁底板。在另一實例中,陶瓷製品為陶瓷蓋。由於陶瓷蓋具有大表面積,由新燒結陶瓷材料形成的陶瓷蓋可具有高結構強度以防止處理期間(例如,當將真空應用於電漿蝕刻反應器之製程腔室時)的破裂或彎曲。在其他實例中,形成噴嘴、製程套環或其他腔室組件。
3 係圖示根據本發明之實施例的各種固體燒結陶瓷製品對2200瓦特之偏壓功率下所產生的電漿之抗濺射性的圖表。該圖表圖示由73.13莫耳%的Y2 O3 及26.87莫耳%的ZrO2 組成的第一示例性固體燒結陶瓷製品(實例1+)的介於0.10與0.15奈米/射頻小時(nm/RFhr)之間的濺射侵蝕速率。該圖表圖示由63.56莫耳%的Y2 O3 、35.03莫耳%的ZrO2 及1.41莫耳%的Al2 O3 組成的第四示例性固體燒結陶瓷製品(實例4+)的介於0.15與0.20 nm/RFhr之間的濺射侵蝕速率。該圖表圖示由71.96莫耳%的Y2 O3 、26.44莫耳%的ZrO2 及1.60莫耳%的Al2 O3 組成的第二示例性固體燒結陶瓷製品(實例2+)的介於0.15與0.20 nm/RFhr之間的濺射侵蝕速率。該圖表圖示由64.46莫耳%的Y2 O3 及35.54莫耳%的ZrO2 組成的第三示例性固體燒結陶瓷製品(實例3+)的介於0.20與0.25 nm/RFhr之間的濺射侵蝕速率。該圖表圖示由52.12莫耳%的Y2 O3 及47.88莫耳%的ZrO2 組成的第六示例性固體燒結陶瓷製品(實例6+)的介於0.25與0.30 nm/RFhr之間的濺射侵蝕速率。該圖表圖示由57.64莫耳%的Y2 O3 及42.36莫耳%的ZrO2 組成的第五示例性固體燒結陶瓷製品(實例5+)的介於0.30與0.35 nm/RFhr之間的濺射侵蝕速率。該圖表另外圖示由Er2 O3 、Y2 O3 、Gd2 O3 、Er3 Al5 O12 (EAG)、99.8%的Al2 O3 、92%的Al2 O3 分別構成的固體燒結陶瓷的抗濺射侵蝕速率,及包括63莫耳%的Y2 O3 、14莫耳%的Al2 O3 及23莫耳%的ZrO2 的對照複合陶瓷的抗濺射侵蝕速率,以用於比較。
4 係圖示根據本發明之實施例的各種固體燒結陶瓷製品對使用N2 /H2 化學品所產生的電漿之抗侵蝕性的額外圖表。該圖表圖示由73.13莫耳%的Y2 O3 及26.87莫耳%的ZrO2 組成的第一示例性固體燒結陶瓷製品的大約10 nm/RFhr之侵蝕速率。該圖表圖示由64.46莫耳%的Y2 O3 及35.54莫耳%的ZrO2 組成的第三示例性固體燒結陶瓷製品的略大於10 nm/RFhr之侵蝕速率。該圖表圖示由63.56莫耳%的Y2 O3 、35.03莫耳%的ZrO2 及1.41莫耳%的Al2 O3 組成的第四示例性固體燒結陶瓷製品的略大於10 nm/RFhr之侵蝕速率。該圖表圖示由71.96莫耳%的Y2 O3 、26.44莫耳%的ZrO2 及1.60莫耳%的Al2 O3 組成的第二示例性固體燒結陶瓷製品的低於15 nm/RFhr之侵蝕速率。該圖表另外圖示由Y2 O3 、石英及HPM分別構成之固體燒結陶瓷的侵蝕速率以用於比較。
5 係圖示根據本發明之實施例的各種固體燒結陶瓷製品對使用CHF4 /CF4 化學品所產生的電漿之抗侵蝕性的又一圖表。該圖表圖示參考 3 所界定之第一示例性固體燒結陶瓷製品(實例1+)、第二示例性固體燒結陶瓷製品(實例2+)、第六示例性固體燒結陶瓷製品(實例6+)及第三示例性固體燒結陶瓷製品(實例3+)的略大於0.05 nm/RFhr之侵蝕速率。該圖表另外圖示參考 3 所界定之第五示例性固體燒結陶瓷製品(實例5+)及第四示例性固體燒結陶瓷製品(實例4+)的稍低於0.75 nm/RFhr之侵蝕速率。該圖表另外圖示由Er2 O3 、Y2 O3 、Gd2 O3 、EAG、99.8%之Al2 O3 、92%之Al2 O3 分別構成的固體燒結陶瓷的侵蝕速率,及對照複合陶瓷的侵蝕速率,以用於比較。
6A 係圖示根據本發明之實施例的各種固體燒結陶瓷製品對使用N2 /H2 化學品所產生的電漿之抗侵蝕性的圖表。該圖表圖示對氧化釔、對實例4++陶瓷製品及對實例5++陶瓷製品之侵蝕速率低於15 nm/RFhr。該圖表亦圖示對矽之侵蝕速率略低於20 nm/RFhr,及對對照複合陶瓷之侵蝕速率超過20 nm/RFhr。實例4++陶瓷包括約37莫耳%之濃度的Y2 O3 、約8莫耳%之濃度的ZrO2 及約55莫耳%之濃度的Er2 O3 。實例5++陶瓷包括約40莫耳%之濃度的Y2 O3 、約10莫耳%之濃度的ZrO2 、約30莫耳%之濃度的Er2 O3 及約20莫耳%之濃度的Gd2 O3
6B 係圖示固體燒結(塊狀)氧化釔、實例4++、實例5++、矽及對照複合陶瓷之蝕刻前與蝕刻後粗糙度的圖表。如圖所示,實例4++固體燒結陶瓷及實例5++固體燒結陶瓷展示最小侵蝕速率及實例4++展示最小粗糙度變化。
表1:導體蓋的液體粒子計數(Liquid particle count; LPC),單位:粒子/平方公分(p/cm2 )
表1圖示由對照複合陶瓷製成之導體蓋與由第一示例性陶瓷材料(實例1+)製成之導體蓋清洗後所量測之粒子缺陷。第一示例性陶瓷材料由73.13莫耳%之濃度的Y2 O3 及26.87莫耳%之濃度的ZrO2 組成。可藉由執行液體粒子計數(LPC)量測粒子污染。表中的各個柱體表示至少特定尺寸之眾多粒子。
表2A:金屬污染,單位:1010 原子/cm2
表2B:金屬污染,單位:1010 原子/cm2
表2A及表2B圖示使用對照複合陶瓷製成之固體燒結陶瓷蓋及使用第一示例性陶瓷材料製成之固體燒結陶瓷蓋所處理的晶圓上之金屬污染。可藉由電感耦合電漿質譜分析(inductively coupled plasma mass spectroscopy; ICPMS)量測金屬污染。表中的各個柱體表示不同的金屬污染物。本文實施例中所描述之固體燒結陶瓷製品之不同配方取決於彼等固體燒結陶瓷製品之組分具有不同的晶圓上金屬污染水平。因此,基於製造商之不同晶圓上金屬污染規範,可選擇不同配方製造對應腔室組件。
表3:示例性固體燒結陶瓷製品之機械特性
表3圖示對照複合陶瓷製品之機械特性及Y2 O3 固體燒結陶瓷製品之機械特性,並參考第2圖所界定之第一示例性(實例1+)固體燒結陶瓷製品以及額外示例性固體燒結陶瓷製品實例1++、實例2++、實例3++及實例4++之機械特性的比較。
前文之描述闡明瞭諸如特定系統、組件、方法等的實例之眾多特定細節,以便提供對本發明之多個實施例之良好理解。然而,對熟習此項技術者應將顯而易見的是,可在不使用該等特定細節的情況下實施本發明之至少一些實施例。在其他情況中,並未詳細描述熟知組件或方法,或者是以簡單方塊圖形式呈現該等組件或方法,以免不必要地模糊本發明。因此,所闡明之特定細節僅為示例性。特定實施可自該等示例性細節而變化,且仍應包含於本發明之範疇內。
貫穿本說明書中對「一個實施例」或「一實施例」之引用意謂結合實施例所描述之特定特徵、結構或特性包括在至少一個實施例中。因此,在本說明書中多處出現的用語「在一個實施例中」或「在一實施例中」不一定皆指示相同實施例。另外,術語「或」意欲表示包容性「或」,而非排他性「或」。
儘管以特定次序圖示及描述本文中的方法之操作,但可改變各個方法之操作次序,使得可以相反次序執行某些操作或可至少部分地與其他操作同時執行某些操作。在另一實施例中,可以間歇性及/或交替性方式執行不同操作之指令或子操作。
應瞭解,上文之描述意欲為說明之目的,而非限定。在閱讀及理解上文之描述後,對熟習此項技術者而言許多其他實施例將顯而易見。因此,應參考隨附申請專利範圍以及該等申請專利範圍授權之等效物之完整範疇決定本發明之範疇。
100‧‧‧處理腔室
102‧‧‧腔室主體
104‧‧‧腔室蓋
106‧‧‧內部容積
108‧‧‧側壁
110‧‧‧底部
116‧‧‧外部襯墊
118‧‧‧內部襯墊
126‧‧‧排氣口
128‧‧‧泵送系統
130‧‧‧蓋
132‧‧‧噴嘴
138‧‧‧黏合劑
144‧‧‧基板
147‧‧‧環
148‧‧‧基板支撐組件
150‧‧‧靜電夾盤
152‧‧‧台座
158‧‧‧氣體控制板
162‧‧‧安裝板
164‧‧‧導熱基座
166‧‧‧靜電圓盤
168、170‧‧‧管道
172‧‧‧流體源
174‧‧‧隔熱體
176‧‧‧加熱構件
178‧‧‧加熱器電源
180‧‧‧夾持電極
182‧‧‧夾盤電源
184、186‧‧‧RF電源
188‧‧‧匹配電路
190、192‧‧‧溫度感測器
195‧‧‧控制器
200‧‧‧製程
255~280‧‧‧方塊
在隨附圖式之諸圖中以實例之方式(而非以限制之方式)圖示本發明,在該等圖式中,相似元件符號指示相似元件。應注意,對本揭示案中的「一」或「一個」實施例之不同引用不一定指示相同實施例,且此種引用意謂至少一者。
1 係具有一或更多個腔室組件的半導體處理腔室之剖視圖,該等腔室組件係使用本文實施例中所提供之陶瓷材料產生的固體燒結陶瓷製品。
2 圖示根據本發明之一個實施例的形成固體燒結陶瓷製品之製程。
3 圖示根據本發明之實施例的各種固體燒結陶瓷製品對2200瓦特之偏壓功率下所產生的電漿之抗濺射性。
4 圖示根據本發明之實施例的各種固體燒結陶瓷製品對使用N2 /H2 化學品所產生的電漿之抗侵蝕性。
5 圖示根據本發明之實施例的各種固體燒結陶瓷製品對使用CHF4 /CF4 化學品所產生的電漿之抗侵蝕性。
6A 係圖示根據本發明之實施例的各種固體燒結陶瓷製品對使用N2 /H2 化學品所產生的電漿之抗侵蝕性的圖表。
6B 係圖示各種塊狀燒結陶瓷製品之蝕刻前及蝕刻後粗糙度的圖表。
國內寄存資訊 (請依寄存機構、日期、號碼順序註記) 無
國外寄存資訊 (請依寄存國家、機構、日期、號碼順序註記) 無
(請換頁單獨記載) 無
200‧‧‧製程
255‧‧‧方塊
260‧‧‧方塊
265‧‧‧方塊
270‧‧‧方塊
275‧‧‧方塊
280‧‧‧方塊

Claims (20)

  1. 一種製品,該製品包含: 一主體;以及一抗電漿陶瓷塗層於該主體的至少一表面上,該抗電漿陶瓷塗層包含約30莫耳%至約60莫耳%之一濃度的Y2 O3 、約20莫耳%至約60莫耳%之一濃度的Er2 O3 及超過0莫耳%至約30莫耳%之一濃度的ZrO2 、Gd2 O3 或SiO2 之至少一者。
  2. 如請求項1所述之製品,其中該製品包含一腔室組件,該腔室組件選自由以下組成之一群組:一靜電夾盤、一蓋、一噴嘴、一氣體分配板、一噴灑頭、一靜電夾盤組件及一處理套環。
  3. 如請求項1所述之製品,其中該抗電漿陶瓷塗層包含至少一種固溶體,該至少一種固溶體包含至多20莫耳%之一濃度的ZrO2 、至多10莫耳%之一濃度的Gd2 O3 及至多30莫耳%之一濃度的SiO2
  4. 如請求項1所述之製品,其中該陶瓷塗層係一電漿噴塗塗層。
  5. 如請求項1所述之製品,該陶瓷塗層藉由離子輔助沉積而被沉積。
  6. 如請求項1所述之製品,其中該抗電漿陶瓷塗層包含約40莫耳%之一濃度的Y2 O3 、約5莫耳%之一濃度的ZrO2 、約35莫耳%之一濃度的Er2 O3 、約5莫耳%之一濃度的Gd2 O3 及約15莫耳%之一濃度的SiO2
  7. 如請求項1所述之製品,其中該抗電漿陶瓷塗層包含約45莫耳%之一濃度的Y2 O3 、約5莫耳%之一濃度的ZrO2 、約35莫耳%之一濃度的Er2 O3 、約10莫耳%之一濃度的Gd2 O3 及約5莫耳%之一濃度的SiO2
  8. 如請求項1所述之製品,其中該抗電漿陶瓷塗層包含約40莫耳%之一濃度的Y2 O3 、約5莫耳%之一濃度的ZrO2 、約40莫耳%之一濃度的Er2 O3 、約7莫耳%之一濃度的Gd2 O3 及約8莫耳%之一濃度的SiO2
  9. 如請求項1所述之製品,其中該抗電漿陶瓷塗層包含約37莫耳%之一濃度的Y2 O3 、約8莫耳%之一濃度的ZrO2 及約55莫耳%之一濃度的Er2 O3
  10. 如請求項1所述之製品,其中該抗電漿陶瓷塗層包含約40莫耳%之一濃度的Y2 O3 、約10莫耳%之一濃度的ZrO2 、約30莫耳%之一濃度的Er2 O3 及約20莫耳%之一濃度的Gd2 O3
  11. 如請求項1所述之製品,其中該抗電漿陶瓷塗層包含約5莫耳%至約30莫耳%之一濃度的ZrO2 、Gd2 O3 或SiO2 之至少一者。
  12. 如請求項1所述之製品,其中該抗電漿陶瓷塗層包含1-20莫耳%之一濃度的ZrO2
  13. 如請求項1所述之製品,其中該抗電漿陶瓷塗層包含約30-55莫耳%之一濃度的Er2 O3
  14. 如請求項1所述之製品,其中該抗電漿陶瓷塗層包含5-10莫耳%之一濃度的ZrO2
  15. 如請求項1所述之製品,其中該抗電漿陶瓷塗層包含超過0莫耳%至30莫耳%之一濃度的ZrO2
  16. 如請求項1所述之製品,其中該抗電漿陶瓷塗層包含超過0莫耳%至30莫耳%之一濃度的Gd2 O3
  17. 如請求項1所述之製品,其中該抗電漿陶瓷塗層包含超過0莫耳%至30莫耳%之一濃度的SiO2
  18. 如請求項1所述之製品,其中該抗電漿陶瓷塗層包含約37-45莫耳%之一濃度的Y2 O3
  19. 如請求項1所述之製品,其中該抗電漿陶瓷塗層包含約5-20莫耳%之一濃度的Gd2 O3
  20. 如請求項1所述之製品,其中該抗電漿陶瓷塗層包含約5-15莫耳%之一濃度的SiO2
TW106103899A 2013-11-12 2014-11-07 基於稀土族氧化物的整體式腔室材料 TWI632125B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201361903215P 2013-11-12 2013-11-12
US61/903,215 2013-11-12
US14/531,785 US9440886B2 (en) 2013-11-12 2014-11-03 Rare-earth oxide based monolithic chamber material
US14/531,785 2014-11-03

Publications (2)

Publication Number Publication Date
TW201718435A true TW201718435A (zh) 2017-06-01
TWI632125B TWI632125B (zh) 2018-08-11

Family

ID=53044276

Family Applications (3)

Application Number Title Priority Date Filing Date
TW103138762A TWI583654B (zh) 2013-11-12 2014-11-07 基於稀土族氧化物的整體式腔室材料
TW107123612A TW201841866A (zh) 2013-11-12 2014-11-07 基於稀土族氧化物的整體式腔室材料
TW106103899A TWI632125B (zh) 2013-11-12 2014-11-07 基於稀土族氧化物的整體式腔室材料

Family Applications Before (2)

Application Number Title Priority Date Filing Date
TW103138762A TWI583654B (zh) 2013-11-12 2014-11-07 基於稀土族氧化物的整體式腔室材料
TW107123612A TW201841866A (zh) 2013-11-12 2014-11-07 基於稀土族氧化物的整體式腔室材料

Country Status (6)

Country Link
US (7) US9440886B2 (zh)
JP (4) JP6259111B2 (zh)
KR (3) KR102119866B1 (zh)
CN (6) CN107759220A (zh)
TW (3) TWI583654B (zh)
WO (1) WO2015073458A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI785212B (zh) * 2018-03-07 2022-12-01 美商應用材料股份有限公司 用於電漿環境中的腔室部件的氧化釔-二氧化鋯耐蝕材料

Families Citing this family (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10622194B2 (en) * 2007-04-27 2020-04-14 Applied Materials, Inc. Bulk sintered solid solution ceramic which exhibits fracture toughness and halogen plasma resistance
US10242888B2 (en) 2007-04-27 2019-03-26 Applied Materials, Inc. Semiconductor processing apparatus with a ceramic-comprising surface which exhibits fracture toughness and halogen plasma resistance
US9034199B2 (en) 2012-02-21 2015-05-19 Applied Materials, Inc. Ceramic article with reduced surface defect density and process for producing a ceramic article
US9212099B2 (en) 2012-02-22 2015-12-15 Applied Materials, Inc. Heat treated ceramic substrate having ceramic coating and heat treatment for coated ceramics
US9394615B2 (en) * 2012-04-27 2016-07-19 Applied Materials, Inc. Plasma resistant ceramic coated conductive article
US9343289B2 (en) 2012-07-27 2016-05-17 Applied Materials, Inc. Chemistry compatible coating material for advanced device on-wafer particle performance
US9708713B2 (en) 2013-05-24 2017-07-18 Applied Materials, Inc. Aerosol deposition coating for semiconductor chamber components
US9850568B2 (en) 2013-06-20 2017-12-26 Applied Materials, Inc. Plasma erosion resistant rare-earth oxide based thin film coatings
US9711334B2 (en) 2013-07-19 2017-07-18 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based thin film coatings on process rings
US9583369B2 (en) 2013-07-20 2017-02-28 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based coatings on lids and nozzles
US10468235B2 (en) 2013-09-18 2019-11-05 Applied Materials, Inc. Plasma spray coating enhancement using plasma flame heat treatment
US9440886B2 (en) 2013-11-12 2016-09-13 Applied Materials, Inc. Rare-earth oxide based monolithic chamber material
US9725799B2 (en) 2013-12-06 2017-08-08 Applied Materials, Inc. Ion beam sputtering with ion assisted deposition for coatings on chamber components
US9976211B2 (en) 2014-04-25 2018-05-22 Applied Materials, Inc. Plasma erosion resistant thin film coating for high temperature application
US9869013B2 (en) 2014-04-25 2018-01-16 Applied Materials, Inc. Ion assisted deposition top coat of rare-earth oxide
US10730798B2 (en) 2014-05-07 2020-08-04 Applied Materials, Inc. Slurry plasma spray of plasma resistant ceramic coating
US10196728B2 (en) 2014-05-16 2019-02-05 Applied Materials, Inc. Plasma spray coating design using phase and stress control
US9460898B2 (en) 2014-08-08 2016-10-04 Applied Materials, Inc. Plasma generation chamber with smooth plasma resistant coating
US11326253B2 (en) 2016-04-27 2022-05-10 Applied Materials, Inc. Atomic layer deposition of protective coatings for semiconductor process chamber components
US9850573B1 (en) 2016-06-23 2017-12-26 Applied Materials, Inc. Non-line of sight deposition of erbium based plasma resistant ceramic coating
US20180016678A1 (en) 2016-07-15 2018-01-18 Applied Materials, Inc. Multi-layer coating with diffusion barrier layer and erosion resistant layer
US10186400B2 (en) * 2017-01-20 2019-01-22 Applied Materials, Inc. Multi-layer plasma resistant coating by atomic layer deposition
US10975469B2 (en) 2017-03-17 2021-04-13 Applied Materials, Inc. Plasma resistant coating of porous body by atomic layer deposition
US10755900B2 (en) * 2017-05-10 2020-08-25 Applied Materials, Inc. Multi-layer plasma erosion protection for chamber components
US11279656B2 (en) * 2017-10-27 2022-03-22 Applied Materials, Inc. Nanopowders, nanoceramic materials and methods of making and use thereof
US11047035B2 (en) 2018-02-23 2021-06-29 Applied Materials, Inc. Protective yttria coating for semiconductor equipment parts
US10443126B1 (en) 2018-04-06 2019-10-15 Applied Materials, Inc. Zone-controlled rare-earth oxide ALD and CVD coatings
US11667575B2 (en) 2018-07-18 2023-06-06 Applied Materials, Inc. Erosion resistant metal oxide coatings
US11180847B2 (en) 2018-12-06 2021-11-23 Applied Materials, Inc. Atomic layer deposition coatings for high temperature ceramic components
US10858741B2 (en) 2019-03-11 2020-12-08 Applied Materials, Inc. Plasma resistant multi-layer architecture for high aspect ratio parts
SG11202112687PA (en) * 2019-06-08 2021-12-30 Applied Materials Inc Rf components with chemically resistant surfaces
CN110372383B (zh) * 2019-07-15 2022-03-04 中国矿业大学 一种高q温度稳定型微波介质陶瓷材料及其制备方法与应用
US20220049350A1 (en) * 2020-08-13 2022-02-17 Applied Materials, Inc. Apparatus design for photoresist deposition
CN112851343B (zh) * 2021-01-20 2021-11-30 广东恒盛佳陶瓷有限公司 一种抑菌耐磨陶瓷及其制备方法
KR20230173314A (ko) * 2022-06-17 2023-12-27 에스케이엔펄스 주식회사 내식각성 세라믹 부품 및 이의 제조방법
CN116332647A (zh) * 2023-02-14 2023-06-27 沪硅精密陶瓷科技(苏州)有限公司 抗等离子体稀土氧化物固溶体陶瓷及其制造方法

Family Cites Families (91)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4166831A (en) * 1977-07-11 1979-09-04 Gte Laboratories Incorporated Transparent yttria ceramics and method for producing same
US5766693A (en) 1995-10-06 1998-06-16 Ford Global Technologies, Inc. Method of depositing composite metal coatings containing low friction oxides
US5827791A (en) 1997-09-12 1998-10-27 Titanium Metals Corporation Facecoat ceramic slurry and methods for use thereof in mold fabrication and casting
JP2000001362A (ja) * 1998-06-10 2000-01-07 Nippon Seratekku:Kk 耐食性セラミックス材料
US6383964B1 (en) 1998-11-27 2002-05-07 Kyocera Corporation Ceramic member resistant to halogen-plasma corrosion
KR20010062209A (ko) 1999-12-10 2001-07-07 히가시 데쓰로 고내식성 막이 내부에 형성된 챔버를 구비하는 처리 장치
JP4548887B2 (ja) * 1999-12-27 2010-09-22 京セラ株式会社 耐食性セラミック部材およびその製造方法
TW503449B (en) 2000-04-18 2002-09-21 Ngk Insulators Ltd Halogen gas plasma-resistive members and method for producing the same, laminates, and corrosion-resistant members
US6645585B2 (en) 2000-05-30 2003-11-11 Kyocera Corporation Container for treating with corrosive-gas and plasma and method for manufacturing the same
US6746539B2 (en) 2001-01-30 2004-06-08 Msp Corporation Scanning deposition head for depositing particles on a wafer
JP2002241971A (ja) * 2001-02-14 2002-08-28 Toshiba Ceramics Co Ltd 耐プラズマ性部材
JP2002356387A (ja) 2001-03-30 2002-12-13 Toshiba Ceramics Co Ltd 耐プラズマ性部材
JP4277973B2 (ja) 2001-07-19 2009-06-10 日本碍子株式会社 イットリア−アルミナ複合酸化物膜の製造方法、イットリア−アルミナ複合酸化物膜および耐蝕性部材
US7507268B2 (en) 2001-08-02 2009-03-24 3M Innovative Properties Company Al2O3-Y2O3-ZrO2/HfO2 materials, and methods of making and using the same
US20030029563A1 (en) 2001-08-10 2003-02-13 Applied Materials, Inc. Corrosion resistant coating for semiconductor processing chamber
JP2003146751A (ja) 2001-11-20 2003-05-21 Toshiba Ceramics Co Ltd 耐プラズマ性部材及びその製造方法
US8067067B2 (en) 2002-02-14 2011-11-29 Applied Materials, Inc. Clean, dense yttrium oxide coating protecting semiconductor processing apparatus
US20080264564A1 (en) 2007-04-27 2008-10-30 Applied Materials, Inc. Method of reducing the erosion rate of semiconductor processing apparatus exposed to halogen-containing plasmas
US20080213496A1 (en) * 2002-02-14 2008-09-04 Applied Materials, Inc. Method of coating semiconductor processing apparatus with protective yttrium-containing coatings
US6776873B1 (en) * 2002-02-14 2004-08-17 Jennifer Y Sun Yttrium oxide based surface coating for semiconductor IC processing vacuum chambers
JP2003277051A (ja) 2002-03-22 2003-10-02 Ngk Insulators Ltd イットリア−アルミナ複合酸化物膜を有する積層体、イットリア−アルミナ複合酸化物膜、耐蝕性部材、耐蝕性膜およびイットリア−アルミナ複合酸化物膜の製造方法
JP4208580B2 (ja) 2003-01-15 2009-01-14 日本碍子株式会社 複合焼結体およびその製造方法
JP2004332081A (ja) * 2003-05-12 2004-11-25 Shin Etsu Chem Co Ltd 耐プラズマ部材及びその製造方法
AU2004203889B2 (en) * 2003-08-22 2006-02-23 Panasonic Healthcare Holdings Co., Ltd. ZrO2-Al2O3 composite ceramic material
US7220497B2 (en) * 2003-12-18 2007-05-22 Lam Research Corporation Yttria-coated ceramic components of semiconductor material processing apparatuses and methods of manufacturing the components
JP4632692B2 (ja) * 2004-05-25 2011-02-16 京セラ株式会社 表面被覆セラミック焼結体
US7927722B2 (en) * 2004-07-30 2011-04-19 United Technologies Corporation Dispersion strengthened rare earth stabilized zirconia
US20060043067A1 (en) * 2004-08-26 2006-03-02 Lam Research Corporation Yttria insulator ring for use inside a plasma chamber
JP2006069843A (ja) 2004-09-02 2006-03-16 Ibiden Co Ltd 半導体製造装置用セラミック部材
JP4796354B2 (ja) 2005-08-19 2011-10-19 日本碍子株式会社 静電チャック及びイットリア焼結体の製造方法
JP4398436B2 (ja) 2006-02-20 2010-01-13 トーカロ株式会社 熱放射特性等に優れるセラミック溶射皮膜被覆部材およびその製造方法
US20080160172A1 (en) 2006-05-26 2008-07-03 Thomas Alan Taylor Thermal spray coating processes
US20070274837A1 (en) 2006-05-26 2007-11-29 Thomas Alan Taylor Blade tip coatings
US20080026160A1 (en) 2006-05-26 2008-01-31 Thomas Alan Taylor Blade tip coating processes
WO2007148931A1 (en) 2006-06-21 2007-12-27 Korea Institute Of Science And Technology Ceramic coating material for thermal spray on the parts of semiconductor processing devices and fabrication method and coating method thereof
US20080009417A1 (en) 2006-07-05 2008-01-10 General Electric Company Coating composition, article, and associated method
US20080029032A1 (en) 2006-08-01 2008-02-07 Sun Jennifer Y Substrate support with protective layer for plasma resistance
US7479464B2 (en) 2006-10-23 2009-01-20 Applied Materials, Inc. Low temperature aerosol deposition of a plasma resistive layer
US7862901B2 (en) * 2006-12-15 2011-01-04 General Electric Company Yttria containing thermal barrier coating topcoat layer and method for applying the coating layer
JP5071856B2 (ja) * 2007-03-12 2012-11-14 日本碍子株式会社 酸化イットリウム材料及び半導体製造装置用部材
US7833924B2 (en) 2007-03-12 2010-11-16 Ngk Insulators, Ltd. Yttrium oxide-containing material, component of semiconductor manufacturing equipment, and method of producing yttrium oxide-containing material
JP4936948B2 (ja) 2007-03-27 2012-05-23 日本碍子株式会社 複合材料及びその製造方法
JP2008241971A (ja) 2007-03-27 2008-10-09 Tomoegawa Paper Co Ltd トナー製造方法
TWI695822B (zh) * 2007-04-27 2020-06-11 美商應用材料股份有限公司 減小曝露於含鹵素電漿下之表面腐蝕速率的方法與設備
US7696117B2 (en) 2007-04-27 2010-04-13 Applied Materials, Inc. Method and apparatus which reduce the erosion rate of surfaces exposed to halogen-containing plasmas
US8367227B2 (en) * 2007-08-02 2013-02-05 Applied Materials, Inc. Plasma-resistant ceramics with controlled electrical resistivity
JP5047741B2 (ja) * 2007-09-13 2012-10-10 コバレントマテリアル株式会社 耐プラズマ性セラミックス溶射膜
US8129029B2 (en) 2007-12-21 2012-03-06 Applied Materials, Inc. Erosion-resistant plasma chamber components comprising a metal base structure with an overlying thermal oxidation coating
US20090214825A1 (en) 2008-02-26 2009-08-27 Applied Materials, Inc. Ceramic coating comprising yttrium which is resistant to a reducing plasma
US8206829B2 (en) * 2008-11-10 2012-06-26 Applied Materials, Inc. Plasma resistant coatings for plasma chamber components
US9017765B2 (en) 2008-11-12 2015-04-28 Applied Materials, Inc. Protective coatings resistant to reactive plasma processing
US20110198034A1 (en) 2010-02-11 2011-08-18 Jennifer Sun Gas distribution showerhead with coating material for semiconductor processing
CN102822115B (zh) * 2010-03-30 2017-06-27 日本碍子株式会社 半导体制造装置用耐腐蚀性构件及其制法
JP5767209B2 (ja) * 2010-03-30 2015-08-19 日本碍子株式会社 半導体製造装置用耐食性部材及びその製法
US20120183790A1 (en) 2010-07-14 2012-07-19 Christopher Petorak Thermal spray composite coatings for semiconductor applications
JP5198611B2 (ja) 2010-08-12 2013-05-15 株式会社東芝 ガス供給部材、プラズマ処理装置およびイットリア含有膜の形成方法
KR101108692B1 (ko) 2010-09-06 2012-01-25 한국기계연구원 다공성 세라믹 표면을 밀봉하는 치밀한 희토류 금속 산화물 코팅막 및 이의 제조방법
US8916021B2 (en) 2010-10-27 2014-12-23 Applied Materials, Inc. Electrostatic chuck and showerhead with enhanced thermal properties and methods of making thereof
KR20120057272A (ko) 2010-11-26 2012-06-05 인하대학교 산학협력단 비정질 내플라즈마 유리조성물 및 이를 이용한 내플라즈마 부재
CN102260855A (zh) * 2011-07-26 2011-11-30 中微半导体设备(上海)有限公司 抗刻蚀层、半导体处理装置及制作方法
US9034199B2 (en) 2012-02-21 2015-05-19 Applied Materials, Inc. Ceramic article with reduced surface defect density and process for producing a ceramic article
US9212099B2 (en) 2012-02-22 2015-12-15 Applied Materials, Inc. Heat treated ceramic substrate having ceramic coating and heat treatment for coated ceramics
US20130273313A1 (en) 2012-04-13 2013-10-17 Applied Materials, Inc. Ceramic coated ring and process for applying ceramic coating
US9090046B2 (en) 2012-04-16 2015-07-28 Applied Materials, Inc. Ceramic coated article and process for applying ceramic coating
US20130288037A1 (en) 2012-04-27 2013-10-31 Applied Materials, Inc. Plasma spray coating process enhancement for critical chamber components
US9394615B2 (en) 2012-04-27 2016-07-19 Applied Materials, Inc. Plasma resistant ceramic coated conductive article
US9604249B2 (en) 2012-07-26 2017-03-28 Applied Materials, Inc. Innovative top-coat approach for advanced device on-wafer particle performance
US9447365B2 (en) 2012-07-27 2016-09-20 Applied Materials, Inc. Enhanced cleaning process of chamber used plasma spray coating without damaging coating
US9343289B2 (en) 2012-07-27 2016-05-17 Applied Materials, Inc. Chemistry compatible coating material for advanced device on-wafer particle performance
JP5934069B2 (ja) 2012-09-14 2016-06-15 日本碍子株式会社 積層構造体、半導体製造装置用部材及び積層構造体の製造方法
US9916998B2 (en) 2012-12-04 2018-03-13 Applied Materials, Inc. Substrate support assembly having a plasma resistant protective layer
US9685356B2 (en) 2012-12-11 2017-06-20 Applied Materials, Inc. Substrate support assembly having metal bonded protective layer
US8941969B2 (en) 2012-12-21 2015-01-27 Applied Materials, Inc. Single-body electrostatic chuck
KR102094304B1 (ko) 2013-02-05 2020-03-30 (주) 코미코 표면 처리 방법 및 이를 이용한 세라믹 구조물
US9556507B2 (en) 2013-03-14 2017-01-31 Applied Materials, Inc. Yttria-based material coated chemical vapor deposition chamber heater
US9666466B2 (en) 2013-05-07 2017-05-30 Applied Materials, Inc. Electrostatic chuck having thermally isolated zones with minimal crosstalk
US9708713B2 (en) 2013-05-24 2017-07-18 Applied Materials, Inc. Aerosol deposition coating for semiconductor chamber components
US9865434B2 (en) 2013-06-05 2018-01-09 Applied Materials, Inc. Rare-earth oxide based erosion resistant coatings for semiconductor application
US9850568B2 (en) 2013-06-20 2017-12-26 Applied Materials, Inc. Plasma erosion resistant rare-earth oxide based thin film coatings
US9711334B2 (en) 2013-07-19 2017-07-18 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based thin film coatings on process rings
US9583369B2 (en) 2013-07-20 2017-02-28 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based coatings on lids and nozzles
US10468235B2 (en) 2013-09-18 2019-11-05 Applied Materials, Inc. Plasma spray coating enhancement using plasma flame heat treatment
US9440886B2 (en) 2013-11-12 2016-09-13 Applied Materials, Inc. Rare-earth oxide based monolithic chamber material
US9725799B2 (en) 2013-12-06 2017-08-08 Applied Materials, Inc. Ion beam sputtering with ion assisted deposition for coatings on chamber components
US9976211B2 (en) 2014-04-25 2018-05-22 Applied Materials, Inc. Plasma erosion resistant thin film coating for high temperature application
US20150311043A1 (en) 2014-04-25 2015-10-29 Applied Materials, Inc. Chamber component with fluorinated thin film coating
US9869013B2 (en) 2014-04-25 2018-01-16 Applied Materials, Inc. Ion assisted deposition top coat of rare-earth oxide
US10730798B2 (en) 2014-05-07 2020-08-04 Applied Materials, Inc. Slurry plasma spray of plasma resistant ceramic coating
US10196728B2 (en) 2014-05-16 2019-02-05 Applied Materials, Inc. Plasma spray coating design using phase and stress control
US10385459B2 (en) 2014-05-16 2019-08-20 Applied Materials, Inc. Advanced layered bulk ceramics via field assisted sintering technology
JP5927656B2 (ja) 2014-11-08 2016-06-01 リバストン工業株式会社 皮膜付き基材、その製造方法、その皮膜付き基材を含む半導体製造装置部材

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI785212B (zh) * 2018-03-07 2022-12-01 美商應用材料股份有限公司 用於電漿環境中的腔室部件的氧化釔-二氧化鋯耐蝕材料

Also Published As

Publication number Publication date
US20160326060A1 (en) 2016-11-10
TWI632125B (zh) 2018-08-11
CN105408286A (zh) 2016-03-16
TW201841866A (zh) 2018-12-01
US20160318807A1 (en) 2016-11-03
US9884787B2 (en) 2018-02-06
JP6259111B2 (ja) 2018-01-10
US20150133285A1 (en) 2015-05-14
CN111333420A (zh) 2020-06-26
KR102279667B1 (ko) 2021-07-19
US20180044247A1 (en) 2018-02-15
KR20170103043A (ko) 2017-09-12
JP6526729B2 (ja) 2019-06-05
US9440886B2 (en) 2016-09-13
CN106810257A (zh) 2017-06-09
US20160326061A1 (en) 2016-11-10
JP6681857B2 (ja) 2020-04-15
JP2016537298A (ja) 2016-12-01
KR102119866B1 (ko) 2020-06-05
US10577286B2 (en) 2020-03-03
KR101832477B1 (ko) 2018-02-26
US9617188B2 (en) 2017-04-11
US10584068B2 (en) 2020-03-10
WO2015073458A1 (en) 2015-05-21
JP2020138906A (ja) 2020-09-03
US10934216B2 (en) 2021-03-02
CN111233473A (zh) 2020-06-05
JP2017143271A (ja) 2017-08-17
TWI583654B (zh) 2017-05-21
JP2018048065A (ja) 2018-03-29
CN107759220A (zh) 2018-03-06
TW201527259A (zh) 2015-07-16
KR20160086318A (ko) 2016-07-19
US20180044246A1 (en) 2018-02-15
CN111233474A (zh) 2020-06-05
US20190263722A1 (en) 2019-08-29
US9890086B2 (en) 2018-02-13
KR20200066378A (ko) 2020-06-09
JP6526729B6 (ja) 2019-06-26

Similar Documents

Publication Publication Date Title
TWI583654B (zh) 基於稀土族氧化物的整體式腔室材料
TWI795981B (zh) 稀土氧化物系抗電漿腐蝕薄膜塗層
TWI773579B (zh) 用於腔室蓋與噴嘴上之稀土氧化物系塗層的離子輔助沉積