KR102279667B1 - 희토류 옥사이드 기반 모놀리식 챔버 재료 - Google Patents

희토류 옥사이드 기반 모놀리식 챔버 재료 Download PDF

Info

Publication number
KR102279667B1
KR102279667B1 KR1020207015643A KR20207015643A KR102279667B1 KR 102279667 B1 KR102279667 B1 KR 102279667B1 KR 1020207015643 A KR1020207015643 A KR 1020207015643A KR 20207015643 A KR20207015643 A KR 20207015643A KR 102279667 B1 KR102279667 B1 KR 102279667B1
Authority
KR
South Korea
Prior art keywords
mol
molar
zro
article
ceramic
Prior art date
Application number
KR1020207015643A
Other languages
English (en)
Other versions
KR20200066378A (ko
Inventor
제니퍼 와이. 선
비라자 피. 카눈고
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20200066378A publication Critical patent/KR20200066378A/ko
Application granted granted Critical
Publication of KR102279667B1 publication Critical patent/KR102279667B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B35/00Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products
    • C04B35/50Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on rare-earth compounds
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B35/00Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products
    • C04B35/50Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on rare-earth compounds
    • C04B35/505Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on rare-earth compounds based on yttrium oxide
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B35/00Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products
    • C04B35/622Forming processes; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B35/00Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products
    • C04B35/622Forming processes; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products
    • C04B35/62222Forming processes; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products obtaining ceramic coatings
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B35/00Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products
    • C04B35/622Forming processes; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products
    • C04B35/626Preparing or treating the powders individually or as batches ; preparing or treating macroscopic reinforcing agents for ceramic products, e.g. fibres; mechanical aspects section B
    • C04B35/62605Treating the starting powders individually or as mixtures
    • C04B35/62645Thermal treatment of powders or mixtures thereof other than sintering
    • C04B35/62655Drying, e.g. freeze-drying, spray-drying, microwave or supercritical drying
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B37/00Joining burned ceramic articles with other burned ceramic articles or other articles by heating
    • C04B37/001Joining burned ceramic articles with other burned ceramic articles or other articles by heating directly with other burned ceramic articles
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B37/00Joining burned ceramic articles with other burned ceramic articles or other articles by heating
    • C04B37/02Joining burned ceramic articles with other burned ceramic articles or other articles by heating with metallic articles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/221Ion beam deposition
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C4/00Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge
    • C23C4/04Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge characterised by the coating material
    • C23C4/10Oxides, borides, carbides, nitrides or silicides; Mixtures thereof
    • C23C4/11Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C4/00Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge
    • C23C4/12Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge characterised by the method of spraying
    • C23C4/134Plasma spraying
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • H01L21/6833Details of electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68757Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a coating or a hardness or a material
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B2235/00Aspects relating to ceramic starting mixtures or sintered ceramic products
    • C04B2235/02Composition of constituents of the starting material or of secondary phases of the final product
    • C04B2235/30Constituents and secondary phases not being of a fibrous nature
    • C04B2235/32Metal oxides, mixed metal oxides, or oxide-forming salts thereof, e.g. carbonates, nitrates, (oxy)hydroxides, chlorides
    • C04B2235/3217Aluminum oxide or oxide forming salts thereof, e.g. bauxite, alpha-alumina
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B2235/00Aspects relating to ceramic starting mixtures or sintered ceramic products
    • C04B2235/02Composition of constituents of the starting material or of secondary phases of the final product
    • C04B2235/30Constituents and secondary phases not being of a fibrous nature
    • C04B2235/32Metal oxides, mixed metal oxides, or oxide-forming salts thereof, e.g. carbonates, nitrates, (oxy)hydroxides, chlorides
    • C04B2235/3224Rare earth oxide or oxide forming salts thereof, e.g. scandium oxide
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B2235/00Aspects relating to ceramic starting mixtures or sintered ceramic products
    • C04B2235/02Composition of constituents of the starting material or of secondary phases of the final product
    • C04B2235/30Constituents and secondary phases not being of a fibrous nature
    • C04B2235/32Metal oxides, mixed metal oxides, or oxide-forming salts thereof, e.g. carbonates, nitrates, (oxy)hydroxides, chlorides
    • C04B2235/3224Rare earth oxide or oxide forming salts thereof, e.g. scandium oxide
    • C04B2235/3225Yttrium oxide or oxide-forming salts thereof
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B2235/00Aspects relating to ceramic starting mixtures or sintered ceramic products
    • C04B2235/02Composition of constituents of the starting material or of secondary phases of the final product
    • C04B2235/30Constituents and secondary phases not being of a fibrous nature
    • C04B2235/32Metal oxides, mixed metal oxides, or oxide-forming salts thereof, e.g. carbonates, nitrates, (oxy)hydroxides, chlorides
    • C04B2235/3231Refractory metal oxides, their mixed metal oxides, or oxide-forming salts thereof
    • C04B2235/3244Zirconium oxides, zirconates, hafnium oxides, hafnates, or oxide-forming salts thereof
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B2235/00Aspects relating to ceramic starting mixtures or sintered ceramic products
    • C04B2235/02Composition of constituents of the starting material or of secondary phases of the final product
    • C04B2235/30Constituents and secondary phases not being of a fibrous nature
    • C04B2235/34Non-metal oxides, non-metal mixed oxides, or salts thereof that form the non-metal oxides upon heating, e.g. carbonates, nitrates, (oxy)hydroxides, chlorides
    • C04B2235/3418Silicon oxide, silicic acids, or oxide forming salts thereof, e.g. silica sol, fused silica, silica fume, cristobalite, quartz or flint
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B2235/00Aspects relating to ceramic starting mixtures or sintered ceramic products
    • C04B2235/65Aspects relating to heat treatments of ceramic bodies such as green ceramics or pre-sintered ceramics, e.g. burning, sintering or melting processes
    • C04B2235/656Aspects relating to heat treatments of ceramic bodies such as green ceramics or pre-sintered ceramics, e.g. burning, sintering or melting processes characterised by specific heating conditions during heat treatment
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B2235/00Aspects relating to ceramic starting mixtures or sintered ceramic products
    • C04B2235/70Aspects relating to sintered or melt-casted ceramic products
    • C04B2235/96Properties of ceramic products, e.g. mechanical properties such as strength, toughness, wear resistance
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B2235/00Aspects relating to ceramic starting mixtures or sintered ceramic products
    • C04B2235/70Aspects relating to sintered or melt-casted ceramic products
    • C04B2235/96Properties of ceramic products, e.g. mechanical properties such as strength, toughness, wear resistance
    • C04B2235/9669Resistance against chemicals, e.g. against molten glass or molten salts
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B2237/00Aspects relating to ceramic laminates or to joining of ceramic articles with other articles by heating
    • C04B2237/30Composition of layers of ceramic laminates or of ceramic or metallic articles to be joined by heating, e.g. Si substrates
    • C04B2237/32Ceramic
    • C04B2237/34Oxidic
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B2237/00Aspects relating to ceramic laminates or to joining of ceramic articles with other articles by heating
    • C04B2237/30Composition of layers of ceramic laminates or of ceramic or metallic articles to be joined by heating, e.g. Si substrates
    • C04B2237/32Ceramic
    • C04B2237/34Oxidic
    • C04B2237/341Silica or silicates
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B2237/00Aspects relating to ceramic laminates or to joining of ceramic articles with other articles by heating
    • C04B2237/30Composition of layers of ceramic laminates or of ceramic or metallic articles to be joined by heating, e.g. Si substrates
    • C04B2237/32Ceramic
    • C04B2237/34Oxidic
    • C04B2237/345Refractory metal oxides
    • C04B2237/348Zirconia, hafnia, zirconates or hafnates
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B2237/00Aspects relating to ceramic laminates or to joining of ceramic articles with other articles by heating
    • C04B2237/30Composition of layers of ceramic laminates or of ceramic or metallic articles to be joined by heating, e.g. Si substrates
    • C04B2237/40Metallic

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Ceramic Engineering (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Structural Engineering (AREA)
  • Metallurgy (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Mechanical Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Plasma & Fusion (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Analytical Chemistry (AREA)
  • Thermal Sciences (AREA)
  • Drying Of Semiconductors (AREA)
  • Compositions Of Oxide Ceramics (AREA)
  • Chemical Vapour Deposition (AREA)
  • Ceramic Products (AREA)

Abstract

고체의 소결된 세라믹 물품은 대략 30 molar% 내지 대략 60 molar% 농도의 Y2O3, 대략 20 molar% 내지 대략 60 molar% 농도의 Er2O3, 및 대략 0 molar% 내지 대략 30 molar% 농도의 ZrO2, Gd2O3 또는 SiO2 중 적어도 하나를 포함하는 고용체를 포함할 수 있다. 대안적으로, 고체의 소결된 세라믹 물품은 40 내지 100 mol%의 Y2O3, 0 내지 50 mol%의 ZrO2, 및 0 내지 40 mol%의 Al2O3을 포함하는 고용체를 포함한다.

Description

희토류 옥사이드 기반 모놀리식 챔버 재료{RARE-EARTH OXIDE BASED MONOLITHIC CHAMBER MATERIAL}
본 발명의 구체예들은 일반적으로, 플라즈마 내성 희토류 옥사이드 재료들, 및 특히 플라즈마 내성 희토류 옥사이드 재료들로부터 형성된 고체의 소결된 세라믹 물품(solid sintered ceramic article)들에 관한 것이다.
반도체 산업에서, 디바이스들은 점차적으로 감소되고 있는 크기를 갖는 구조를 생산하는 다수의 제작 공정들에 의해 제작된다. 일부 제작 공정들, 예를 들어 플라즈마 에치 및 플라즈마 세척 공정들은 기재를 에칭시키거나 세척하기 위해 고속 플라즈마 스트림에 기재를 노출시킨다. 플라즈마는 매우 부식성일 수 있고, 가공 챔버들 및 플라즈마에 노출되는 다른 표면들을 부식시킬 수 있다. 이러한 부식은 입자들을 발생시킬 수 있는데, 이는 흔히 가공될 기판을 오염시켜 디바이스 결함들에 기여한다. 추가적으로, 부식은 챔버 부품들로부터의 금속 원자들이 가공된 기판들(예를 들어, 가공된 웨이퍼들)을 오염시킬 수 있게 한다.
디바이스 기하학적 구조가 축소함에 따라, 결함들 및 금속 오염에 대한 민감성이 증가하며, 입자 오염물질 사양 및 금속 오염물질 사양들이 더욱 엄격하게 된다. 이에 따라, 디바이스 기하학적 구조가 축소함에 따라, 입자 결함들 및 금속 오염의 허용 가능한 수준들이 감소될 수 있다. 플라즈마 에치 및/또는 플라즈마 세척 공정들에 의해 도입된 입자 결함들 및 금속 오염을 최소화하기 위하여, 플라즈마들에 대해 내성인 챔버 재료들이 개발되었다. 이러한 플라즈마 내성 재료들의 예는 Al2O3, AlN, SiC 및 Y2O3로 이루어진 세라믹들을 포함한다. 그러나, 이러한 세라믹 재료들의 플라즈마 내성 성질들은 일부 적용들에 대해 불충분할 수 있다. 예를 들어, 전통적인 세라믹 제작 공정들을 이용하여 제작된 플라즈마 내성 세라믹 뚜껑들 및/또는 노즐들은, 90 nm 이하의 임계 치수들을 갖는 반도체 디바이스들의 플라즈마 에치 공정들에서 사용될 때, 허용 가능하지 않은 수준들의 입자 결함들을 형성시킬 수 있다.
본 발명은 유사한 참조기호들이 유사한 구성요소들을 지시하는 첨부된 도면에서의 도들에서, 일 예로서 예시된 것으로서, 한정적인 것으로 예시된 것은 아니다. 본 명세서에서 "일 구체예"에 대한 상이한 언급들이 반드시 동일한 구체예를 언급하는 것이 아니며 이러한 언급들은 적어도 하나를 의미한다는 것이 주지되어야 한다.
도 1은 본원의 구체예들에 제공된 세라믹 재료들을 사용하여 형성된 고체의 소결된 세라믹 물품들인 하나 이상의 챔버 부품들을 갖는 반도체 가공 챔버의 단면도이다.
도 2는 본 발명의 일 구체예에 따른, 고체의 소결된 세라믹 물품을 형성시키는 공정을 예시한 것이다.
도 3은 본 발명의 구체예들에 따른, 2200 와트의 바이어스 전력(bias power) 하에서 발생된 플라즈마에 대한 다양한 고체의 소결된 세라믹 물품들의 스퍼터 내성(sputter resistance)을 도시한 것이다.
도 4는 본 발명의 구체예들에 따른, N2/H2 화학물질을 사용하여 형성된 플라즈마에 대한 다양한 고체의 소결된 세라믹 물품의 내침식성을 도시한 것이다.
도 5는 본 발명의 구체예들에 따른, CHF4/CF4 화학물질을 사용하여 형성된 플라즈마에 대한 다양한 고체의 소결된 세라믹 물품의 내침식성을 도시한 것이다.
도 6a는 본 발명의 구체예들에 따른, N2/H2 화학물질을 사용하여 형성된 플라즈마에 대한 다양한 고체의 소결된 세라믹 물품의 내침식성을 도시한 차트이다.
도 6b는 다양한 벌크 소결된 세라믹 물품들에 대한 에치-전 및 에치-후 거칠기를 도시한 차트이다.
본 발명의 구체예들은 신규한 소결된 세라믹 재료들, 및 이러한 신규한 소결된 세라믹 재료들을 제조하는 방법에 관한 것이다. 구체예들에서, 소결된 세라믹 재료들은 대략 30 molar% 내지 대략 60 molar% 농도의 Y2O3, 대략 20 molar% 내지 대략 60 molar% 농도의 Er2O3, 및 대략 0 molar% 내지 대략 30 molar% 농도의 ZrO2, Gd2O3 또는 SiO2 중 적어도 하나를 포함하는 고용체를 가질 수 있다. 다른 구체예들에서, 소결된 세라믹 재료들은 Y2O3, ZrO2, 및/또는 Al2O3의 혼합물을 포함한 고용체를 가질 수 있다. 신규한 소결된 세라믹 재료들은 플라즈마 에치 반응기용 챔버 부품들을 형성시키기 위해 사용될 수 있다. 플라즈마 에치 반응기에서 본원에 기술된 신규한 소결된 세라믹 재료들로 형성된 챔버 부품들의 사용은 통상적인 플라즈마 내성 세라믹 재료들로 형성된 챔버 부품들의 사용과 비교하여 온-웨이퍼 금속 오염 및/또는 입자 결함들을 크게 감소시킬 수 있다. 특히, 이트륨, 알루미늄, 및 아연의 금속 오염은 본원의 구체예들에 기술된 세라믹 재료들의 사용에 의해 크기 감소될 수 있다. 가공된 웨이퍼들 상에서의 이러한 금속 오염물질들의 감소는 반도체들, 디스플레이들, 광전지(photovoltaic)들, 마이크로-전자-기계 시스템(micro-electro-mechanical system(MEMS)) 디바이스들, 등의 제조업체들에 의해 요구될 수 있다.
용어들 "약" 및 "대략"이 본원에서 사용될 때, 이러한 용어들은 제시된 명목 수치(nominal value)가 ±10% 내에서 정밀함으로 의미하는 것으로 의도된다.
구체예들은 플라즈마 에치 반응기(또한, 플라즈마 에칭기로 언급됨)용 챔버 부품들인 고체의 소결된 세라믹 물품들에 관하여 기술된다. 예를 들어, 세라믹 물품들은 공정 키트 고리들(process kit rings), 챔버 뚜껑, 가스 분배 플레이트들, 샤워 헤드들, 정전 척들, 및 리프트 핀들(lift pins)일 수 있다. 그러나, 본원에 기술된 고체의 소결된 세라믹 재료들은 또한, 플라즈마 세척기, 플라즈마 추진 시스템, 등과 같은 플라즈마 환경에 노출되는 부품들을 갖는 다른 디바이스들에 대해 사용될 수 있다. 또한, 구체예들은 고체의 소결된 세라믹 물품들에 관하여 기술된다. 그러나, 논의된 구체예들은 또한, 증착된 세라믹 코팅들, 예를 들어 플라즈마 분사 세라믹 코팅들 및 이온 보조 증착(ion assisted deposition(IAD)) 기술들을 이용하여 적용된 세라믹 코팅들에 적용한다. 이에 따라, 고체의 소결된 세라믹 재료들의 논의가 동일한 조성들의 증착된 세라믹 재료들에 적용하는 것으로 이해되어야 한다.
구체예들은 플라즈마 에치 및/또는 플라즈마 세척 공정들을 위해 공정 챔버에서 사용될 때 감소된 입자 결함들 및 금속 오염을 야기시키는 세라믹 물품들에 관하여 본원에서 기술된다. 그러나, 플라즈마 강화 화학적 증기 증착(PECVD), 플라즈마 강화 물리적 증기 증착(PEPVD), 플라즈마 강화 원자층 증착(PEALD), 등, 및 비-플라즈마 에칭기들, 비-플라즈마 세척기들, 화학적 증기 증착(CVD) 로들, 물리적 증기 증착(PVD) 로들, 등과 같은 다른 공정들을 위한 공정 챔버들에서 사용될 때, 본원에서 논의된 세라믹 물품들이 또한 감소된 입자 결함들 및 금속 오염을 제공할 수 있는 것으로 이해되어야 한다.
도 1은 본원의 구체예들에 제공된 세라믹 재료들을 사용하여 생성된 고체의 소결된 세라믹 물품들인 하나 이상의 챔버 부품들을 갖는 반도체 가공 챔버(100)의 단면도이다. 가공 챔버(100)는 부식성 플라즈마 환경이 제공되는 공정들을 위해 사용될 수 있다. 예를 들어, 가공 챔버(100)는 플라즈마 에치 반응기(또한, 플라즈마 에칭기로서 공지됨), 플라즈마 세척기, 등을 위한 챔버일 수 있다. 고체의 소결된 플라즈마 내성 세라믹 재료로 이루어지거나 이를 포함할 수 있는 챔버 부품들의 예들은 정전 척(ESC)(150), 고리(예를 들어, 공정 키트 고리 또는 단일 고리), 챔버벽, 가스 분배 플레이트, 샤워헤드, 라이너, 라이너 키트, 챔버 뚜껑(104), 노즐(132), 등을 포함한다. 이러한 챔버 부품들 중 하나 이상을 형성하기 위해 사용되는 고체의 소결된 세라믹 재료는 도 2를 참조로 하여 더욱 상세히 기술된다.
일 구체예에서, 가공 챔버(100)는 내부 용적(106)을 둘러싸는 챔버 바디(102) 및 뚜껑(130)을 포함한다. 뚜껑(130)은 이의 중심에 홀을 가질 수 있으며, 노즐(132)은 홀에 삽입될 수 있다. 일부 구체예들에서, 샤워헤드가 뚜껑(130) 및 노즐(132) 대신에 사용된다. 챔버 바디(102)는 알루미늄, 스테인레스 스틸 또는 다른 적합한 재료로부터 제작될 수 있다. 챔버 바디(102)는 일반적으로 측벽들(108) 및 바닥(110)을 포함한다. 임의의 뚜껑(130), 노즐(132), 샤워헤드, 등은 고체의 소결된 세라믹 재료를 포함할 수 있다.
외부 라이너(116)는 챔버 바디(102)를 보호하기 위해 측벽들(108)에 인접하여 배치될 수 있다. 외부 라이너(116)는 희토류 옥사이드 기반 재료들로부터 제조된 플라즈마 내성 층일 수 있다.
배기 포트(126)는 챔버 바디(102)에서 한정될 수 있고, 내부 용적(106)을 펌프 시스템(128)에 연결시킬 수 있다. 펌프 시스템(128)은 가공 챔버(100)의 내부 용적(106)의 압력을 배기시키고 조절하기 위해 사용되는 하나 이상의 펌프들 및 쓰로틀 밸브(throttle valve)들을 포함할 수 있다.
뚜껑(130)은 챔버 바디(102)의 측벽(108) 상에 지지될 수 있다. 뚜껑(130)은 가공 챔버(100)의 내부 용적(106)에 접근할 수 있도록 개방될 수 있고, 폐쇄되어 있는 동안 가공 챔버(100)를 위한 시일(seal)을 제공할 수 있다. 가스 패널(158)은 공정 및/또는 세척 가스들을 노즐(132)을 통해 내부 용적(106)에 제공하기 위해 가공 챔버(100)에 연결될 수 있다.
가공 챔버(100)에서 기재들을 가공하기 위해 사용될 수 있는 가공 가스들의 예들은 할로겐-함유 가스들, 예를 들어 그중에서도, C2F6, SF6, SiCl4, HBr, NF3, CF4, CHF3, CH2F3, F, NF3, Cl2, CCl4, BCl3 및 SiF4, 및 다른 가스들, 예를 들어 O2, 또는 N2O를 포함한다. 운반 가스들의 예는 N2, He, Ar, 및 공정 가스들에 대해 불활성인 다른 가스들(예를 들어, 비-반응성 가스들)을 포함한다. 기재 지지 어셈블리(148)는 가공 챔버(100)의 내부 용적(106)에서 뚜껑(130) 아래에 배치된다. 기재 지지 어셈블리(148)는 가공 동안 기재(144)를 유지시킨다. 고리(147)(예를 들어, 단일 고리)는 정전 척(150)의 일부를 덮을 수 있고, 가공 동안 덮혀진 부분이 플라즈마에 노출되는 것을 방지할 수 있다. 고리(147)는 본원에 기술된 임의의 고체의 소결된 세라믹 재료들로 형성될 수 있다.
내부 라이너(118)는 기재 지지 어셈블리(148)의 주변부(periphery) 상에 형성될 수 있다. 내부 라이너(118)는 할로겐-함유 가스 내성 물질, 예를 들어, 외부 라이너(116)에 관한여 논의된 것들일 수 있다.
일 구체예에서, 기재 지지 어셈블리(148)는 받침대(152)를 지지하는 마운팅 플레이트(mounting plate)(162), 및 정전 척(150)을 포함한다. 정전 척(150)은 열전도성 베이스(164) 및 일 구체예에서 실리콘 접합부일 수 있는 접합부(138)에 의해 열전도성 베이스에 결합된 정전 퍽(electrostatic puck)(166)을 추가로 포함한다. 마운팅 플레이트(162)는 챔버 바디(102)의 바닥(110)에 연결되고, 유틸리티들(utility)(예를 들어, 유체들, 전선들, 센서 리드들, 등)을 열전도성 베이스(164) 및 정전 퍽(166)으로 전달하기 위한 통로들을 포함한다.
열전도성 베이스(164) 및/또는 정전 퍽(166)은 기재 지지 어셈블리(148)의 측면 온도 프로파일을 제어하기 위해 하나 이상의 임의적 엠베딩된 가열 부재들(176), 엠베딩된 단열기들(174) 및/또는 도관들(168, 170)을 포함할 수 있다. 도관들(168, 170)은 도관들(168, 170)을 통해 온도 조절 유체를 순환시키는 유체 공급원(172)에 유체적으로 연결될 수 있다. 엠베딩된 단열기(174)는 일 구체예에서 도관들(168, 170) 사이에 배치될 수 있다. 가열 부재(176)는 가열기 전원(178)에 의해 조절된다. 도관들(168, 170) 및 가열 부재(176)는 열전도성 베이스(164)의 온도를 제어하기 위해 사용되어, 정전 퍽(166) 및 가공될 기재들(예를 들어, 웨이퍼)(144)을 가열시키고/거나 냉각시킬 수 있다. 정전 퍽(166) 및 열전도성 베이스(164)의 온도는 복수의 온도 센서들(190, 192)을 사용하여 모니터링될 수 있고, 이는 제어기(195)를 이용하여 모니터링될 수 있다.
정전 퍽(166)은 정전 퍽(166)의 상부 표면에 형성될 수 있는, 그루브들(grooves), 메사들(mesas) 및 다른 표면 피쳐들과 같은 다수의 가스 통로들을 추가로 포함할 수 있다. 가스 통로들은 정전 퍽(166)에 천공된 홀들을 통해 He와 같은 열전달 (또는 배면) 가스의 공급원에 유체적으로 연결될 수 있다. 작동 시에, 배면 가스는 정전 퍽(166)과 기재(144) 간의 열전달을 향상시키기 위해 가스 통로들에 조절된 압력으로 제공될 수 있다.
정전 퍽(166)은 척킹 전원(chucking power source; 182)에 의해 제어된 적어도 하나의 클램핑 전극(clamping electrode)(180)을 포함한다. 적어도 하나의 클램핑 전극(180)(또는 정전 퍽(166) 또는 전도성 베이스(164)에 배치된 다른 전극)은 가공 챔버(100) 내에 공정 가스 및/또는 다른 가스로부터 형성된 플라즈마를 유지시키기 위해 매칭 회로(188)를 통해 하나 이상의 RF 전원들(184, 186)에 추가로 연결될 수 있다. RF 전원들(184, 186)은 일반적으로 약 50 kHz 내지 약 3 GHz의 주파수 및 약 10,000 와트 이하의 출력을 갖는 RF 신호를 형성시킬 수 있다.
도 2는 본 발명의 일 구체예에 따른, 고체의 소결된 세라믹 물품을 제작하기 위한 공정(200)을 도시한 흐름 차트이다. 블록(255)에서, 세라믹 물품을 형성하기 위해 사용되는 세라믹 분말들이 선택된다. 선택된 세라믹 분말들의 양들이 또한 선택된다.
일 구체예에서, 선택된 세라믹 분말들은 Y2O3, Er2O3, 및 Y2O3 및 Er2O3을 갖는 상(phase)을 형성시키는 하나 이상의 추가의 희토류 옥사이드들을 포함한다. 추가의 희토류 옥사이드들은 또한 내침식성이고 고밀도(낮은 공극률)를 가져야 한다. 사용될 수 있는 추가의 희토류 옥사이드들의 예들은 ZrO2 및 Gd2O3을 포함한다. 비-희토류 옥사이드들, 예를 들어 Al2O3 및 SiO2가 또한 사용될 수 있다. 일 구체예에서, 세라믹 분말들은 대략 30 molar% (mol%) 내지 대략 60 molar% 농도의 Y2O3, 대략 20 molar% 내지 대략 60 molar% 농도의 Er2O3, 및 대략 0 molar% 내지 대략 30 molar% 농도의 ZrO2, Gd2O3 또는 SiO2 중 적어도 하나를 포함한다. 일 구체예에서, 선택된 세라믹 분말들은 대략 30 내지 60 molar% 농도의 Y2O3, 대략 20 내지 55 molar% 농도의 Er2O3, 및 20 molar% 이하의 농도의 ZrO2, 20 molar% 이하의 농도의 Gd2O3 및 30 molar% 이하의 농도의 SiO2 중 하나 이상을 포함한다.
사용될 수 있는 세라믹 분말들의 하나의 특정 혼합물(실시예 1++라 칭함)은 대략 40 molar% 농도의 Y2O3, 대략 5 molar% 농도의 ZrO2, 대략 35 molar% 농도의 Er2O3, 대략 5 molar% 농도의 Gd2O3, 및 대략 15 molar% 농도의 SiO2를 포함한다. 사용될 수 있는 세라믹 분말들의 다른 특정 혼합물(실시예 2++라 칭함)은 대략 45 molar% 농도의 Y2O3, 대략 5 molar% 농도의 ZrO2, 대략 35 molar% 농도의 Er2O3, 대략 10 molar% 농도의 Gd2O3, 및 대략 5 molar% 농도의 SiO2를 포함한다. 사용될 수 있는 세라믹 분말들의 다른 특정 혼합물(실시예 3++라 칭함)은 대략 40 molar% 농도의 Y2O3, 대략 5 molar% 농도의 ZrO2, 대략 40 molar% 농도의 Er2O3, 대략 7 molar% 농도의 Gd2O3, 및 대략 8 molar% 농도의 SiO2를 포함한다. 사용될 수 있는 세라믹 분말들의 다른 특정 혼합물(실시예 4++라 칭함)은 대략 37 molar% 농도의 Y2O3, 대략 8 molar% 농도의 ZrO2, 및 대략 55 molar% 농도의 Er2O3를 포함한다. 사용될 수 있는 세라믹 분말들의 다른 특정 혼합물(실시예 5++라 칭함)은 대략 40 molar% 농도의 Y2O3, 대략 10 molar% 농도의 ZrO2, 대략 30 molar% 농도의 Er2O3, 및 대략 20 molar% 농도의 Gd2O3을 포함한다.
일 구체예에서, 선택된 세라믹 분말들은 40 내지 60 mol%의 Y2O3, 30 내지 50 mol%의 ZrO2, 및 10 내지 20 mol%의 Al2O3을 포함한다. 다른 구체예, 선택된 세라믹 분말들은 40 내지 50 mol%의 Y2O3, 20 내지 40 mol%의 ZrO2, 및 20 내지 40 mol%의 Al2O3을 포함한다. 다른 구체예에서, 선택된 세라믹 분말들은 70 내지 90 mol%의 Y2O3, 0 내지 20 mol%의 ZrO2, 및 10 내지 20 mol%의 Al2O3을 포함한다. 다른 구체예에서, 선택된 세라믹 분말들은 60 내지 80 mol%의 Y2O3, 0 내지 10 mol%의 ZrO2, 및 20 내지 40 mol%의 Al2O3을 포함한다. 다른 구체예에서, 선택된 세라믹 분말들은 40 내지 60 mol%의 Y2O3, 0 내지 20 mol%의 ZrO2, 및 30 내지 40 mol%의 Al2O3을 포함한다. 다른 구체예에서, 선택된 세라믹 분말들은 40 내지 100 mol%의 Y2O3, 0 내지 60 mol%의 ZrO2, 및 0 내지 5 mol%의 Al2O3을 포함한다.
일 구체예에서, 선택된 세라믹 분말들은 40 내지 100 mol%의 Y2O3, 0 내지 60 mol%의 ZrO2, 및 0 내지 5 mol%의 Al2O3을 포함한다. 제1 예에서(실시예 1+라 칭함), 선택된 세라믹 분말들은 73 내지 74 mol%의 Y2O3 및 26 내지 27 mol%의 ZrO2를 포함한다. 제2 예에서(실시예 2+라 칭함), 선택된 세라믹 분말들은 71 내지 72 mol%의 Y2O3, 26 내지 27 mol%의 ZrO2, 및 1 내지 2 mol%의 Al2O3을 포함한다. 제3 예에서(실시예 3+라 칭함), 선택된 세라믹 분말들은 64 내지 65 mol%의 Y2O3 및 35 내지 36 mol%의 ZrO2를 포함한다. 제4 예에서(실시예 4+라 칭함), 선택된 세라믹 분말들은 63 내지 64 mol%의 Y2O3, 35 내지 36 mol%의 ZrO2, 및 1 내지 2 mol%의 Al2O3를 포함한다. 제5 예에서(실시예 5+라 칭함), 선택된 세라믹 분말들은 57 내지 58 mol%의 Y2O3, 42 내지 43 mol%의 ZrO2를 포함한다. 제6 예에서(실시예 6+라 칭함), 선택된 세라믹 분말들은 52 내지 53 mol%의 Y2O3, 47 내지 48 mol%의 ZrO2를 포함한다.
임의의 상술된 소결된 고형체들은 미량의 다른 물질들, 예를 들어 ZrO2, Al2O3, SiO2, B2O3, Er2O3, Nd2O3, Nb2O5, CeO2, Sm2O3, Yb2O3, 또는 다른 옥사이드들을 포함할 수 있다.
블록(260)에서, 선택된 세라믹 분말들이 혼합된다. 일 구체예에서, 선택된 분말들은 슬러리를 형성시키기 위해 물, 결합제, 및 해교제(deflocculant)와 혼합된다. 일 구체예에서, 세라믹 분말들은 분무 건조에 의해 과립 분말로 조합된다.
블록(265)에서, 그린 바디(green body)(소결되지 않은 세라믹 물품)는 혼합된 분말들로부터(예를 들어, 선택된 세라믹 분말들의 혼합물로부터 형성된 슬러리로부터) 형성된다. 그린 바디는 슬립 주조(slip casting), 테이프 주조(tape casting), 냉간 등방압 가압(cold isostatic pressing), 단방향 기계적 가압(unidirectional mechanical pressing), 사출 성형, 및 압출을 포함하지만 이로 제한되지 않는 기술들을 이용하여 형성될 수 있다. 예를 들어, 일 구체예에서 슬러리는 분무 건조되고 모울드에 배치되고 가압되어 그린 바디를 형성시킬 수 있다.
블록(270)에서, 그린 바디가 소결된다. 그린 바디를 소결시키는 것은 그린 바디에서 임의의 구성성분 희토류 옥사이드들의 융점 미만인 고온으로 그린 바디를 가열시키는 것을 포함할 수 있다. 예를 들어, 그린 바디가 Y2O3, Er2O3, ZrO2, Gd2O3 또는 SiO2를 포함하는 경우에, 그린 바디는 Y2O3, Er2O3, ZrO2, Gd2O3 또는 SiO2의 융점들 미만의 임의 포인트로 가열될 수 있다. 일 구체예에서, 소결에 앞서 그린 바디의 형성에서 사용된 결합제을 연소시키기 위해 그린 바디를 저온으로 가열시키는 것이 선행된다. 그린 바디들은 1500 내지 2100℃에서 3 내지 30시간(hr)의 시간 동안 소결될 수 있다.
소결 공정은 단일 상의 다양한 구성성분의 세라믹 재료들로 이루어진 적어도 하나의 고용체를 포함하는 고체의 소결된 세라믹 물품을 형성시킨다. 예를 들어, 일 구체예에서, 고체의 소결된 세라믹 물품은 대략 30 molar% 내지 대략 60 molar% 농도의 Y2O3, 대략 20 molar% 내지 대략 50 molar% 농도의 Er2O3, 대략 0 molar% 내지 대략 30 molar% 농도의 ZrO2, Gd2O3 또는 SiO2 중 적어도 하나를 포함하는 고용체를 포함한다.
다양한 구체예들에서, 고체의 소결된 세라믹 물품은 플라즈마 에치 반응기의 상이한 챔버 부품들을 위해 사용될 수 있다. 형성되는 특정 챔버 부품에 따라, 그린 바디는 상이한 형상들을 가질 수 있다. 예를 들어, 최종 챔버 부품이 공정 키트 고리일 경우에, 그린 바디는 고리의 형상을 가질 수 있다. 챔버 부품이 정전 척용 정전 퍽일 경우에, 그린 바디는 디스크의 형상을 가질 수 있다. 그린 바디는 또한, 형성될 챔버 부품에 따라 다른 형상들을 가질 수 있다.
소결 공정은 통상적으로 조절되지 않은 양에 의해 세라믹 물품의 크기를 변경시킨다. 적어도 일부 이러한 크기 변화로 인하여, 통상적으로 소결 공정이 블록(275)에서 완료된 후에 세라믹 물품이 기계가공된다. 기계가공(machining)은 세라믹 물품의 표면 그라인딩 및/또는 폴리싱(polishing), 세라믹 물품에서 홀들의 천공, 세라믹 물품의 절단 및/또는 형상화, 세라믹 물품의 그라인딩, 세라믹 물품의 폴리싱(예를 들어, 화학적 기계적 평면화(chemical mechanical planarization; CMP), 불꽃 폴리싱, 또는 다른 폴리싱 기술들을 이용), 세라믹 물품의 조면화(예를 들어, 비드 블라스팅(bead blasting)에 의함), 세라믹 물품 상에서 메사(mesa)들의 형성, 등을 포함할 수 있다.
세라믹 물품은 특정 적용을 위해 적절한 구성으로 기계가공될 수 있다. 기계가공 전에, 세라믹 물품은 특정 목적(예를 들어, 플라즈마 에칭기에서 뚜껑으로서 사용될)을 위해 대략적인 형상 및 크기를 가질 수 있다. 그러나, 기계가공은 세라믹 물품의 크기, 형상, 치수들, 홀 크기들, 등을 정밀하게 조절하기 위해 수행될 수 있다.
형성될 특정 챔버 부품에 따라, 추가적인 가공 작업들이 추가적으로 수행될 수 있다. 일 구체예에서, 추가 가공 작업들은 금속 바디에 고체의 소결된 세라믹 물품을 결합시키는 것을 포함한다(블록(280)). 고체의 소결된 세라믹 물품이 기계가공되고 금속 바디에 결합되는 일부 경우들에서, 기계가공이 먼저 수행되고 이후에 결합된다. 다른 경우들에서, 먼저 고체의 소결된 세라믹 물품이 금속 바디에 결합될 수 있고, 이후에 기계가공될 수 있다. 다른 구체예들에서, 일부 기계가공은 결합 전 및 후 둘 모두에 수행된다. 추가적으로, 일부 구체예들에서, 고체의 소결된 세라믹 물품은 다른 세라믹 물품에 결합될 수 있다.
제1 예에서, 세라믹 물품은 샤워헤드용으로 사용되기 위한 것이다. 이러한 구체예에서, 다수의 홀들은 세라믹 물품에 천공될 수 있으며, 세라믹 물품은 알루미늄 가스 분배 플레이트에 결합될 수 있다. 제2 예에서, 세라믹 물품은 정전 척용으로 사용된다. 이러한 구체예에서, 헬륨 핀 홀들은 (예를 들어, 레이저 천공에 의해) 세라믹 물품에 천공되며, 세라믹 물품은 실리콘 결합(silicone bond)에 의해 알루미늄 베이스 플레이트에 결합될 수 있다. 다른 예에서, 세라믹 물품은 세라믹 뚜껑이다. 세라믹 뚜껑이 큰 표면적을 갖기 때문에, 새로이 소결된 세라믹 재료로부터 형성된 세라믹 뚜껑은 가공 동안 (예를 들어, 플라즈마 에치 반응기의 공정 챔버에 진공이 적용될 때) 크래킹(cracking) 또는 버클링(buckling)을 방지하기 위해 높은 구조적 강도를 가질 수 있다. 다른 예들에서, 노즐, 공정 키트 고리, 또는 다른 챔버 부품이 형성된다.
도 3은 본 발명의 구체예들에 따른, 2200 와트의 바이어스 전력 하에서 발생된 플라즈마에 대한 다양한 고체의 소결된 세라믹 물품들의 스퍼터 내성(sputter resistance)을 도시한 차트이다. 이러한 차트는 73.13 molar% Y2O3 및 26.87 molar% ZrO2로 이루어진 제1 예의 고체의 소결된 세라믹 물품(실시예 1+)에 대해 무선주파수 시간 당 0.10 내지 0.15 나노미터(nm/RFhr)의 스퍼터 침식률을 나타낸다. 이러한 차트는 63.56 molar% Y2O3, 35.03 molar% ZrO2, 및 1.41 molar% Al2O3으로 이루어진 제4 예의 고체의 소결된 세라믹 물품(실시예 4+)에 대해 0.15 내지 0.20 nm/RFhr의 스퍼터 침식률을 나타낸다. 이러한 차트는 71.96 molar% Y2O3, 26.44 molar% ZrO2, 및 1.60 molar% Al2O3으로 이루어진 제2 예의 고체의 소결된 세라믹 물품(실시예 2+)에 대해 0.15 내지 0.20 nm/RFhr의 스퍼터 침식률을 나타낸다. 이러한 차트는 64.46 molar% Y2O3 및 35.54 molar% ZrO2로 이루어진 제3 예의 고체의 소결된 세라믹 물품(실시예 3+)에 대해 0.20 내지 0.25 nm/RFhr의 스퍼터 침식률을 나타낸다. 이러한 차트는 52.12 molar% Y2O3 및 47.88 molar% ZrO2로 이루어진 제6 예의 고체의 소결된 세라믹 물품(실시예 6+)에 대해 0.25 내지 0.30 nm/RFhr의 스퍼터 침식률을 나타낸다. 이러한 차트는 57.64 molar% Y2O3 및 42.36 molar% ZrO2로 이루어진 제5 예의 고체의 소결된 세라믹 물품(실시예 5+)에 대해 0.30 내지 0.35 nm/RFhr의 스퍼터 침식률을 나타낸다. 이러한 차트는 추가적으로 Er2O3, Y2O3, Gd2O3, Er3Al5O12(EAG), 99.8% Al2O3, 92% Al2O3의 고체의 소결된 세라믹들, 및 비교를 위한 63 mol% Y2O3, 14 mol% Al2O3, 및 23 mol% ZrO2를 포함하는 비교 컴파운드 세라믹에 대한 스퍼터 침식 내성률을 나타낸다.
도 4는 본 발명의 구체예들에 따른, N2/H2 화학물질을 사용하여 발생된 플라즈마에 대한 다양한 고체의 소결된 세라믹 물품들의 내침식성을 도시한 추가 차트이다. 이러한 차트는 73.13 molar% Y2O3 및 26.87 molar% ZrO2로 이루어진 제1 예의 고체의 소결된 세라믹 물품에 대해 약 10 nm/RFhr의 침식률을 나타낸다. 이러한 차트는 64.46 molar% Y2O3 및 35.54 molar% ZrO2로 이루어진 제3 예의 고체의 소결된 세라믹 물품에 대해 10 nm/RFhr 바로 위의 침식률을 나타낸다. 이러한 차트는 63.56 molar% Y2O3, 35.03 molar% ZrO2, 및 1.41 molar% Al2O3로 이루어진 제4 예의 고체의 소결된 세라믹 물품에 대해 10 nm/RFhr 바로 위의 침식률을 나타낸다. 이러한 차트는 71.96 molar% Y2O3, 26.44 molar% ZrO2, 및 1.60 molar% Al2O3로 이루어진 제2 예의 고체의 소결된 세라믹 물품에 대해 15 nm/RFhr 아래의 침식률을 나타낸다. 이러한 차트는 추가적으로 비교를 위한 Y2O3, 석영, 및 HPM의 고체의 소결된 세라믹에 대핸 침식률들을 나타낸다.
도 5는 본 발명의 구체예들에 따른, CHF4/CF4 화학물질을 사용하여 발생된 플라즈마에 대한 다양한 고체의 소결된 세라믹 물품들의 내침식성을 도시한 또 다른 차트이다. 이러한 차트는 도 3을 참조로 하여 규정된 제1 예의 고체의 소결된 세라믹 물품(실시예 1+), 제2 예의 고체의 소결된 세라믹 물품(실시예 2+), 제6 예의 고체의 소결된 세라믹 물품(실시예 6+), 제3 예의 고체의 소결된 세라믹 물품(실시예 3+)에 대해 0.05 nm/RFhr 바로 위의 침식률들을 나타낸다. 이러한 차트는 추가적으로 도 3을 참조로 하여 규정된, 제5 예의 고체의 소결된 세라믹 물품(실시예 5+) 및 제4 예의 고체의 소결된 세라믹 물품(실시예 4+)에 대해 0.75 nm/RFhr 바로 아래의 침식률들을 나타낸다. 이러한 차트는 추가적으로 Er2O3, Y2O3, Gd2O3, EAG, 99.8% Al2O3, 92% Al2O3의 고체의 소결된 세라믹들, 및 비교를 위한 비교 컴파운드 세라믹에 대한 침식률들을 나타낸다.
도 6a는 본 발명의 구체예들에 따른, N2/H2 화학물질을 사용하여 발생된 플라즈마에 대한 다양한 고체의 소결된 세라믹 물품들의 내침식성을 도시한 차트이다. 이러한 차트는 이트리아, 실시예 4++ 세라믹 물품, 및 실시예 5++ 세라믹 물품에 대해 15 nm/RFhr 아래의 침식률을 나타낸다. 이러한 차트는 또한, 규소에 대해 20 nm/RFhr 바로 아래의 침식률, 및 비교 컴파운드 세라믹에 대해 20 nm/RFhr 초과의 침식률을 나타낸다. 실시예 4++ 세라믹은 대략 37 molar% 농도의 Y2O3, 대략 8 molar% 농도의 ZrO2, 및 대략 55 molar% 농도의 Er2O3를 포함한다. 실시예 5++ 세라믹은 대략 40 molar% 농도의 Y2O3, 대략 10 molar% 농도의 ZrO2, 대략 30 molar% 농도의 Er2O3, 및 대략 20 molar% 농도의 Gd2O3를 포함한다.
도 6b는 고체의 소결된 (벌크) 이트리아, 실시예 4++, 실시예 5++, 규소 및 비교 컴파운드 세라믹에 대한 에치-전 및 에치-후 거칠기를 나타낸 차트이다. 도시된 바와 같이, 실시예 4++ 및 실시예 5++ 고체의 소결된 세라믹들은 최소 침식률을 나타내며, 실시예 4++는 최소 거칠기 변화를 나타낸다.
표 1: 제곱 센티미터 당 입자들 중의 전도체 뚜껑에 대한 액체 입자 카운트(LPC)(p/cm2)
Figure 112020055688110-pat00001
표 1은 비교 컴파운드 세라믹 및 제1 예의 세라믹 재료(실시예 1+)로부터 제조된 전도체 뚜껑의 세척후 측정된 입자 결함들을 나타낸 것이다. 제1 예의 세라믹 재료는 73.13 molar% 농도의 Y2O3 및 26.87 molar% 농도의 ZrO2로 이루어진다. 액체 입자 카운트(LPC)를 수행함으로써 입자 오염을 측정하였다. 표에서 각 컬럼은 적어도 특정 크기인 입자의 수를 나타낸 것이다.
표 2A: 1010 원자/cm2의 금속 오염물질
Figure 112020055688110-pat00002
표 2B: 1010 원자/cm2의 금속 오염물질
Figure 112020055688110-pat00003
표 2a 및 표 2b는 비교 컴파운드 세라믹 및 제1 예의 세라믹 재료의 고체의 소결된 세라믹 뚜껑을 사용하여 가공된 웨이퍼 상의 금속 오염물질을 나타낸 것이다. 금속 오염물질은 유도 결합 플라즈마 질량 분광법(inductively coupled plasma mass spectroscopy; ICPMS)에 의해 측정될 수 있다. 표에서 각 컬럼은 상이한 금속 오염물질을 나타낸 것이다. 본원의 구체예들에 기술된 고체의 소결된 세라믹 물품들의 상이한 포뮬레이션들은 이러한 고체의 소결된 세라믹 물품들의 조성들에 따라 상이한 온-웨이퍼 금속 오염물질 수준들을 갖는다. 이에 따라, 제조업체들의 상이한 온-웨이퍼 금속 오염물질 사양들을 기초로 하여, 상이한 포뮬레이션들은 상응하는 챔버 부품들을 제작하기 위해 선택될 수 있다.
표 3: 실시예의 고체의 소결된 세라믹 물품들의 기계적 성질들
Figure 112020055688110-pat00004
표 3은 도 2를 참조로 하여 규정된 제1 예(실시예 1+)의 고체의 소결된 세라믹 물품, 뿐만 아니라 추가 예의 고체의 소결된 세라믹 물품들 실시예 1++, 실시예 2++, 실시예 3++, 및 실시예 4++의 기계적 성질들을 비교 컴파운드 및 Y2O3 고체의 소결된 세라믹 물품들의 기계적 성질들과 비교하여 나타낸다.
상기 설명은 본 발명의 여러 구체예들의 양호한 이해를 제공하기 위하여 특정 시스템들, 부품들, 방법들의 예들, 등과 같은 다수의 특정 세부사항들을 기술한다. 그러나, 당업자에게 본 발명의 적어도 일부 구체예들이 이러한 특정 세부사항들 없이 실행될 수 있다는 것이 명백하게 될 것이다. 다른 경우들에서, 널리 공지된 부품들 또는 방법들은 상세히 기술되지 않거나 본 발명을 불필요하게 모호하게 하는 것을 방지하기 위하여 단순한 블록 다아이그램 포맷으로 제시된다. 이에 따라, 기술된 특정 세부사항들은 단지 예시적인 것이다. 특정 실행들은 이러한 예시적인 세부사항들로부터 다양해질 수 있고, 또한 본 발명의 범위에 있는 것으로 고려될 수 있다.
본 명세서 전반에 걸쳐 "하나의 구체예" 또는 "일 구체예"에 대한 언급은, 구체예와 관련하여 기술된 특정 특성, 구조, 또는 특징이 적어도 하나의 구체예에 포함된다는 것을 의미한다. 이에 따라, 본 명세서 전반에 걸쳐 다양한 위치에서 구 "하나의 구체예에서" 또는 "일 구체예에서"의 출현은 반드시 모두 동일한 구체예를 언급하는 것은 아니다. 또한, 용어 "또는"은 배타적인 "또는"이기 보다는 포괄적인 "또는"을 의미하는 것으로 의도된다.
본원의 방법들의 작동들이 실제 순서로 도시되고 기술되어 있지만, 이러한 방법의 작동들의 순서는 특정 작동들이 역순으로 수행될 수 있거나 특정 작동들이 적어도 일부, 다른 작동들과 동시에 수행될 수 있도록 변경될 수 있다. 다른 구체예에서, 별도의 작동들의 명령 또는 하위-작동은 간헐적 및/또는 교차 방식으로 이루어질 수 있다.
상기 설명이 예시적인 것으로 의도되고 한정적인 것으로 의도되지 않는 것으로 이해될 것이다. 다수의 다른 구체예들은 상기 설명을 읽고 이해할 때에 당업자에게 명백하게 될 것이다. 이에 따라, 본 발명의 범위는 청구항들이 권리를 갖는 균등물들의 전체 범위와 함께, 첨부된 청구항들에 관하여 결정되어야 한다.

Claims (15)

  1. 플라즈마 내성 세라믹 재료(plasma resistant ceramic material)를 포함하는 물품으로서,
    상기 플라즈마 내성 세라믹 재료가 40 mol% 내지 99 mol% 미만의 Y2O3, 0 mol% 초과 내지 59 mol% 미만의 ZrO2, 및 1 mol% 내지 5 mol% 미만의 Al2O3로 이루어진 물품.
  2. 삭제
  3. 삭제
  4. 제 1항에 있어서, 상기 플라즈마 내성 세라믹 재료가 고체의 소결된 세라믹 재료인 물품.
  5. 제 1항에 있어서, 상기 세라믹 재료가 63 mol% 내지 72 mol%의 Y2O3, 26 mol% 내지 36 mol%의 ZrO2, 및 1 mol% 내지 2 mol%의 Al2O3로 이루어진 물품.
  6. 제 5항에 있어서, 상기 세라믹 재료가 71 mol% 내지 72 mol%의 Y2O3, 26 mol% 내지 27 mol%의 ZrO2, 및 1 mol% 내지 2 mol%의 Al2O3로 이루어진 물품.
  7. 제 6항에 있어서, 상기 세라믹 재료가 71.96 mol%의 Y2O3, 26.44 mol%의 ZrO2, 및 1.60 mol%의 Al2O3로 이루어진 물품.
  8. 제 5항에 있어서, 상기 세라믹 재료가 63 mol% 내지 64 mol%의 Y2O3, 35 mol% 내지 36 mol%의 ZrO2, 및 1 mol% 내지 2 mol%의 Al2O3로 이루어진 물품.
  9. 제 8항에 있어서, 상기 세라믹 재료가 63.56 mol%의 Y2O3, 35.03 mol%의 ZrO2, 및 1.41 mol%의 Al2O3로 이루어진 물품.
  10. 삭제
  11. 제 1항에 있어서, 상기 물품이 플라즈마 에치 반응기용 챔버 부품을 포함하고, 상기 챔버 부품이 정전 척(electrostatic chuck), 뚜껑, 노즐, 가스 분배 플레이트, 샤워 헤드, 정전 척 부품, 및 공정 키트 고리(process kit ring)로 이루어진 군으로부터 선택되는 물품.
  12. 바디(body); 및 상기 바디의 하나 이상의 표면상의 세라믹 코팅을 포함하는 물품으로서,
    상기 세라믹 코팅이 40 mol% 내지 99 mol% 미만의 Y2O3, 0 mol% 초과 내지 59 mol% 미만의 ZrO2, 및 1 mol% 내지 5 mol% 미만의 Al2O3로 이루어진 물품.
  13. 제 12항에 있어서, 상기 세라믹 코팅이 63 mol% 내지 72 mol%의 Y2O3, 26 mol% 내지 36 mol%의 ZrO2, 및 1 mol% 내지 2 mol%의 Al2O3로 이루어진 물품.
  14. 제 13항에 있어서, 상기 세라믹 코팅이 71 mol% 내지 72 mol%의 Y2O3, 26 mol% 내지 27 mol%의 ZrO2, 및 1 mol% 내지 2 mol%의 Al2O3로 이루어진 물품.
  15. 제 12항에 있어서, 상기 세라믹 코팅이 63 mol% 내지 64 mol%의 Y2O3, 35 mol% 내지 36 mol%의 ZrO2, 및 1 mol% 내지 2 mol%의 Al2O3로 이루어진 물품.
KR1020207015643A 2013-11-12 2014-11-11 희토류 옥사이드 기반 모놀리식 챔버 재료 KR102279667B1 (ko)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US201361903215P 2013-11-12 2013-11-12
US61/903,215 2013-11-12
US14/531,785 2014-11-03
US14/531,785 US9440886B2 (en) 2013-11-12 2014-11-03 Rare-earth oxide based monolithic chamber material
KR1020177024542A KR102119866B1 (ko) 2013-11-12 2014-11-11 희토류 옥사이드 기반 모놀리식 챔버 재료
PCT/US2014/065080 WO2015073458A1 (en) 2013-11-12 2014-11-11 Rare-earth oxide based monolithic chamber material

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020177024542A Division KR102119866B1 (ko) 2013-11-12 2014-11-11 희토류 옥사이드 기반 모놀리식 챔버 재료

Publications (2)

Publication Number Publication Date
KR20200066378A KR20200066378A (ko) 2020-06-09
KR102279667B1 true KR102279667B1 (ko) 2021-07-19

Family

ID=53044276

Family Applications (3)

Application Number Title Priority Date Filing Date
KR1020207015643A KR102279667B1 (ko) 2013-11-12 2014-11-11 희토류 옥사이드 기반 모놀리식 챔버 재료
KR1020177024542A KR102119866B1 (ko) 2013-11-12 2014-11-11 희토류 옥사이드 기반 모놀리식 챔버 재료
KR1020167002585A KR101832477B1 (ko) 2013-11-12 2014-11-11 희토류 옥사이드 기반 모놀리식 챔버 재료

Family Applications After (2)

Application Number Title Priority Date Filing Date
KR1020177024542A KR102119866B1 (ko) 2013-11-12 2014-11-11 희토류 옥사이드 기반 모놀리식 챔버 재료
KR1020167002585A KR101832477B1 (ko) 2013-11-12 2014-11-11 희토류 옥사이드 기반 모놀리식 챔버 재료

Country Status (6)

Country Link
US (7) US9440886B2 (ko)
JP (4) JP6259111B2 (ko)
KR (3) KR102279667B1 (ko)
CN (6) CN111233473A (ko)
TW (3) TW201841866A (ko)
WO (1) WO2015073458A1 (ko)

Families Citing this family (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10242888B2 (en) 2007-04-27 2019-03-26 Applied Materials, Inc. Semiconductor processing apparatus with a ceramic-comprising surface which exhibits fracture toughness and halogen plasma resistance
US10622194B2 (en) * 2007-04-27 2020-04-14 Applied Materials, Inc. Bulk sintered solid solution ceramic which exhibits fracture toughness and halogen plasma resistance
US9034199B2 (en) 2012-02-21 2015-05-19 Applied Materials, Inc. Ceramic article with reduced surface defect density and process for producing a ceramic article
US9212099B2 (en) 2012-02-22 2015-12-15 Applied Materials, Inc. Heat treated ceramic substrate having ceramic coating and heat treatment for coated ceramics
US9394615B2 (en) * 2012-04-27 2016-07-19 Applied Materials, Inc. Plasma resistant ceramic coated conductive article
US9343289B2 (en) 2012-07-27 2016-05-17 Applied Materials, Inc. Chemistry compatible coating material for advanced device on-wafer particle performance
US9708713B2 (en) 2013-05-24 2017-07-18 Applied Materials, Inc. Aerosol deposition coating for semiconductor chamber components
US9850568B2 (en) 2013-06-20 2017-12-26 Applied Materials, Inc. Plasma erosion resistant rare-earth oxide based thin film coatings
US9711334B2 (en) 2013-07-19 2017-07-18 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based thin film coatings on process rings
US9583369B2 (en) 2013-07-20 2017-02-28 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based coatings on lids and nozzles
US20150079370A1 (en) 2013-09-18 2015-03-19 Applied Materials, Inc. Coating architecture for plasma sprayed chamber components
US9440886B2 (en) 2013-11-12 2016-09-13 Applied Materials, Inc. Rare-earth oxide based monolithic chamber material
US9725799B2 (en) 2013-12-06 2017-08-08 Applied Materials, Inc. Ion beam sputtering with ion assisted deposition for coatings on chamber components
US9869013B2 (en) 2014-04-25 2018-01-16 Applied Materials, Inc. Ion assisted deposition top coat of rare-earth oxide
US9976211B2 (en) 2014-04-25 2018-05-22 Applied Materials, Inc. Plasma erosion resistant thin film coating for high temperature application
US10730798B2 (en) 2014-05-07 2020-08-04 Applied Materials, Inc. Slurry plasma spray of plasma resistant ceramic coating
US10196728B2 (en) 2014-05-16 2019-02-05 Applied Materials, Inc. Plasma spray coating design using phase and stress control
US9460898B2 (en) 2014-08-08 2016-10-04 Applied Materials, Inc. Plasma generation chamber with smooth plasma resistant coating
US11326253B2 (en) 2016-04-27 2022-05-10 Applied Materials, Inc. Atomic layer deposition of protective coatings for semiconductor process chamber components
US9850573B1 (en) 2016-06-23 2017-12-26 Applied Materials, Inc. Non-line of sight deposition of erbium based plasma resistant ceramic coating
US20180016678A1 (en) 2016-07-15 2018-01-18 Applied Materials, Inc. Multi-layer coating with diffusion barrier layer and erosion resistant layer
US10186400B2 (en) * 2017-01-20 2019-01-22 Applied Materials, Inc. Multi-layer plasma resistant coating by atomic layer deposition
US10975469B2 (en) 2017-03-17 2021-04-13 Applied Materials, Inc. Plasma resistant coating of porous body by atomic layer deposition
US10755900B2 (en) * 2017-05-10 2020-08-25 Applied Materials, Inc. Multi-layer plasma erosion protection for chamber components
US11279656B2 (en) * 2017-10-27 2022-03-22 Applied Materials, Inc. Nanopowders, nanoceramic materials and methods of making and use thereof
US11047035B2 (en) 2018-02-23 2021-06-29 Applied Materials, Inc. Protective yttria coating for semiconductor equipment parts
US11014853B2 (en) * 2018-03-07 2021-05-25 Applied Materials, Inc. Y2O3—ZrO2 erosion resistant material for chamber components in plasma environments
US10443126B1 (en) 2018-04-06 2019-10-15 Applied Materials, Inc. Zone-controlled rare-earth oxide ALD and CVD coatings
US11667575B2 (en) 2018-07-18 2023-06-06 Applied Materials, Inc. Erosion resistant metal oxide coatings
US11180847B2 (en) 2018-12-06 2021-11-23 Applied Materials, Inc. Atomic layer deposition coatings for high temperature ceramic components
US10858741B2 (en) 2019-03-11 2020-12-08 Applied Materials, Inc. Plasma resistant multi-layer architecture for high aspect ratio parts
CN113939894A (zh) * 2019-06-08 2022-01-14 应用材料公司 具有耐化学性表面的rf组件
CN110372383B (zh) * 2019-07-15 2022-03-04 中国矿业大学 一种高q温度稳定型微波介质陶瓷材料及其制备方法与应用
US20220049350A1 (en) * 2020-08-13 2022-02-17 Applied Materials, Inc. Apparatus design for photoresist deposition
CN112851343B (zh) * 2021-01-20 2021-11-30 广东恒盛佳陶瓷有限公司 一种抑菌耐磨陶瓷及其制备方法
KR20230173314A (ko) * 2022-06-17 2023-12-27 에스케이엔펄스 주식회사 내식각성 세라믹 부품 및 이의 제조방법
CN116332647A (zh) * 2023-02-14 2023-06-27 沪硅精密陶瓷科技(苏州)有限公司 抗等离子体稀土氧化物固溶体陶瓷及其制造方法

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001181042A (ja) * 1999-12-27 2001-07-03 Kyocera Corp 耐食性セラミック部材およびその製造方法
JP2008273823A (ja) * 2007-04-27 2008-11-13 Applied Materials Inc ハロゲン含有プラズマに露出された表面の浸食速度を減じる装置及び方法

Family Cites Families (89)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4166831A (en) * 1977-07-11 1979-09-04 Gte Laboratories Incorporated Transparent yttria ceramics and method for producing same
US5766693A (en) 1995-10-06 1998-06-16 Ford Global Technologies, Inc. Method of depositing composite metal coatings containing low friction oxides
US5827791A (en) 1997-09-12 1998-10-27 Titanium Metals Corporation Facecoat ceramic slurry and methods for use thereof in mold fabrication and casting
JP2000001362A (ja) * 1998-06-10 2000-01-07 Nippon Seratekku:Kk 耐食性セラミックス材料
US6383964B1 (en) 1998-11-27 2002-05-07 Kyocera Corporation Ceramic member resistant to halogen-plasma corrosion
KR20010062209A (ko) 1999-12-10 2001-07-07 히가시 데쓰로 고내식성 막이 내부에 형성된 챔버를 구비하는 처리 장치
TW503449B (en) 2000-04-18 2002-09-21 Ngk Insulators Ltd Halogen gas plasma-resistive members and method for producing the same, laminates, and corrosion-resistant members
US6645585B2 (en) 2000-05-30 2003-11-11 Kyocera Corporation Container for treating with corrosive-gas and plasma and method for manufacturing the same
US6746539B2 (en) 2001-01-30 2004-06-08 Msp Corporation Scanning deposition head for depositing particles on a wafer
JP2002241971A (ja) * 2001-02-14 2002-08-28 Toshiba Ceramics Co Ltd 耐プラズマ性部材
JP2002356387A (ja) 2001-03-30 2002-12-13 Toshiba Ceramics Co Ltd 耐プラズマ性部材
JP4277973B2 (ja) 2001-07-19 2009-06-10 日本碍子株式会社 イットリア−アルミナ複合酸化物膜の製造方法、イットリア−アルミナ複合酸化物膜および耐蝕性部材
JP4515255B2 (ja) 2001-08-02 2010-07-28 スリーエム イノベイティブ プロパティズ カンパニー Al2O3−Y2O3−ZrO2材料
US20030029563A1 (en) 2001-08-10 2003-02-13 Applied Materials, Inc. Corrosion resistant coating for semiconductor processing chamber
JP2003146751A (ja) 2001-11-20 2003-05-21 Toshiba Ceramics Co Ltd 耐プラズマ性部材及びその製造方法
US6776873B1 (en) * 2002-02-14 2004-08-17 Jennifer Y Sun Yttrium oxide based surface coating for semiconductor IC processing vacuum chambers
US20080264564A1 (en) 2007-04-27 2008-10-30 Applied Materials, Inc. Method of reducing the erosion rate of semiconductor processing apparatus exposed to halogen-containing plasmas
US20080213496A1 (en) * 2002-02-14 2008-09-04 Applied Materials, Inc. Method of coating semiconductor processing apparatus with protective yttrium-containing coatings
US8067067B2 (en) 2002-02-14 2011-11-29 Applied Materials, Inc. Clean, dense yttrium oxide coating protecting semiconductor processing apparatus
JP2003277051A (ja) 2002-03-22 2003-10-02 Ngk Insulators Ltd イットリア−アルミナ複合酸化物膜を有する積層体、イットリア−アルミナ複合酸化物膜、耐蝕性部材、耐蝕性膜およびイットリア−アルミナ複合酸化物膜の製造方法
JP4208580B2 (ja) 2003-01-15 2009-01-14 日本碍子株式会社 複合焼結体およびその製造方法
JP2004332081A (ja) 2003-05-12 2004-11-25 Shin Etsu Chem Co Ltd 耐プラズマ部材及びその製造方法
AU2004203889B2 (en) * 2003-08-22 2006-02-23 Panasonic Healthcare Holdings Co., Ltd. ZrO2-Al2O3 composite ceramic material
US7220497B2 (en) * 2003-12-18 2007-05-22 Lam Research Corporation Yttria-coated ceramic components of semiconductor material processing apparatuses and methods of manufacturing the components
JP4632692B2 (ja) * 2004-05-25 2011-02-16 京セラ株式会社 表面被覆セラミック焼結体
US7927722B2 (en) * 2004-07-30 2011-04-19 United Technologies Corporation Dispersion strengthened rare earth stabilized zirconia
US20060043067A1 (en) * 2004-08-26 2006-03-02 Lam Research Corporation Yttria insulator ring for use inside a plasma chamber
JP2006069843A (ja) 2004-09-02 2006-03-16 Ibiden Co Ltd 半導体製造装置用セラミック部材
JP4796354B2 (ja) 2005-08-19 2011-10-19 日本碍子株式会社 静電チャック及びイットリア焼結体の製造方法
JP4398436B2 (ja) * 2006-02-20 2010-01-13 トーカロ株式会社 熱放射特性等に優れるセラミック溶射皮膜被覆部材およびその製造方法
US20080026160A1 (en) 2006-05-26 2008-01-31 Thomas Alan Taylor Blade tip coating processes
US20070274837A1 (en) 2006-05-26 2007-11-29 Thomas Alan Taylor Blade tip coatings
US8728967B2 (en) 2006-05-26 2014-05-20 Praxair S.T. Technology, Inc. High purity powders
WO2007148931A1 (en) 2006-06-21 2007-12-27 Korea Institute Of Science And Technology Ceramic coating material for thermal spray on the parts of semiconductor processing devices and fabrication method and coating method thereof
US20080009417A1 (en) 2006-07-05 2008-01-10 General Electric Company Coating composition, article, and associated method
US20080029032A1 (en) 2006-08-01 2008-02-07 Sun Jennifer Y Substrate support with protective layer for plasma resistance
US7479464B2 (en) 2006-10-23 2009-01-20 Applied Materials, Inc. Low temperature aerosol deposition of a plasma resistive layer
US7862901B2 (en) * 2006-12-15 2011-01-04 General Electric Company Yttria containing thermal barrier coating topcoat layer and method for applying the coating layer
JP5071856B2 (ja) * 2007-03-12 2012-11-14 日本碍子株式会社 酸化イットリウム材料及び半導体製造装置用部材
US7833924B2 (en) 2007-03-12 2010-11-16 Ngk Insulators, Ltd. Yttrium oxide-containing material, component of semiconductor manufacturing equipment, and method of producing yttrium oxide-containing material
JP4936948B2 (ja) 2007-03-27 2012-05-23 日本碍子株式会社 複合材料及びその製造方法
JP2008241971A (ja) 2007-03-27 2008-10-09 Tomoegawa Paper Co Ltd トナー製造方法
US7696117B2 (en) 2007-04-27 2010-04-13 Applied Materials, Inc. Method and apparatus which reduce the erosion rate of surfaces exposed to halogen-containing plasmas
US8367227B2 (en) * 2007-08-02 2013-02-05 Applied Materials, Inc. Plasma-resistant ceramics with controlled electrical resistivity
JP5047741B2 (ja) * 2007-09-13 2012-10-10 コバレントマテリアル株式会社 耐プラズマ性セラミックス溶射膜
US8129029B2 (en) 2007-12-21 2012-03-06 Applied Materials, Inc. Erosion-resistant plasma chamber components comprising a metal base structure with an overlying thermal oxidation coating
US20090214825A1 (en) 2008-02-26 2009-08-27 Applied Materials, Inc. Ceramic coating comprising yttrium which is resistant to a reducing plasma
US8206829B2 (en) * 2008-11-10 2012-06-26 Applied Materials, Inc. Plasma resistant coatings for plasma chamber components
US9017765B2 (en) 2008-11-12 2015-04-28 Applied Materials, Inc. Protective coatings resistant to reactive plasma processing
US20110198034A1 (en) 2010-02-11 2011-08-18 Jennifer Sun Gas distribution showerhead with coating material for semiconductor processing
WO2011122377A1 (ja) 2010-03-30 2011-10-06 日本碍子株式会社 半導体製造装置用耐食性部材及びその製法
KR101371640B1 (ko) * 2010-03-30 2014-03-06 엔지케이 인슐레이터 엘티디 반도체 제조 장치용 내식성 부재 및 그 제법
US20120183790A1 (en) 2010-07-14 2012-07-19 Christopher Petorak Thermal spray composite coatings for semiconductor applications
JP5198611B2 (ja) 2010-08-12 2013-05-15 株式会社東芝 ガス供給部材、プラズマ処理装置およびイットリア含有膜の形成方法
KR101108692B1 (ko) 2010-09-06 2012-01-25 한국기계연구원 다공성 세라믹 표면을 밀봉하는 치밀한 희토류 금속 산화물 코팅막 및 이의 제조방법
US8916021B2 (en) 2010-10-27 2014-12-23 Applied Materials, Inc. Electrostatic chuck and showerhead with enhanced thermal properties and methods of making thereof
KR20120057272A (ko) 2010-11-26 2012-06-05 인하대학교 산학협력단 비정질 내플라즈마 유리조성물 및 이를 이용한 내플라즈마 부재
CN102260855A (zh) * 2011-07-26 2011-11-30 中微半导体设备(上海)有限公司 抗刻蚀层、半导体处理装置及制作方法
US9034199B2 (en) 2012-02-21 2015-05-19 Applied Materials, Inc. Ceramic article with reduced surface defect density and process for producing a ceramic article
US9212099B2 (en) 2012-02-22 2015-12-15 Applied Materials, Inc. Heat treated ceramic substrate having ceramic coating and heat treatment for coated ceramics
US20130273313A1 (en) 2012-04-13 2013-10-17 Applied Materials, Inc. Ceramic coated ring and process for applying ceramic coating
US9090046B2 (en) 2012-04-16 2015-07-28 Applied Materials, Inc. Ceramic coated article and process for applying ceramic coating
US9394615B2 (en) 2012-04-27 2016-07-19 Applied Materials, Inc. Plasma resistant ceramic coated conductive article
US20130288037A1 (en) 2012-04-27 2013-10-31 Applied Materials, Inc. Plasma spray coating process enhancement for critical chamber components
US9604249B2 (en) 2012-07-26 2017-03-28 Applied Materials, Inc. Innovative top-coat approach for advanced device on-wafer particle performance
US9447365B2 (en) 2012-07-27 2016-09-20 Applied Materials, Inc. Enhanced cleaning process of chamber used plasma spray coating without damaging coating
US9343289B2 (en) 2012-07-27 2016-05-17 Applied Materials, Inc. Chemistry compatible coating material for advanced device on-wafer particle performance
JP5934069B2 (ja) 2012-09-14 2016-06-15 日本碍子株式会社 積層構造体、半導体製造装置用部材及び積層構造体の製造方法
US9916998B2 (en) 2012-12-04 2018-03-13 Applied Materials, Inc. Substrate support assembly having a plasma resistant protective layer
US9685356B2 (en) 2012-12-11 2017-06-20 Applied Materials, Inc. Substrate support assembly having metal bonded protective layer
US8941969B2 (en) 2012-12-21 2015-01-27 Applied Materials, Inc. Single-body electrostatic chuck
KR102094304B1 (ko) 2013-02-05 2020-03-30 (주) 코미코 표면 처리 방법 및 이를 이용한 세라믹 구조물
US9556507B2 (en) 2013-03-14 2017-01-31 Applied Materials, Inc. Yttria-based material coated chemical vapor deposition chamber heater
US9666466B2 (en) 2013-05-07 2017-05-30 Applied Materials, Inc. Electrostatic chuck having thermally isolated zones with minimal crosstalk
US9708713B2 (en) 2013-05-24 2017-07-18 Applied Materials, Inc. Aerosol deposition coating for semiconductor chamber components
US9865434B2 (en) 2013-06-05 2018-01-09 Applied Materials, Inc. Rare-earth oxide based erosion resistant coatings for semiconductor application
US9850568B2 (en) 2013-06-20 2017-12-26 Applied Materials, Inc. Plasma erosion resistant rare-earth oxide based thin film coatings
US9711334B2 (en) 2013-07-19 2017-07-18 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based thin film coatings on process rings
US9583369B2 (en) 2013-07-20 2017-02-28 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based coatings on lids and nozzles
US20150079370A1 (en) 2013-09-18 2015-03-19 Applied Materials, Inc. Coating architecture for plasma sprayed chamber components
US9440886B2 (en) * 2013-11-12 2016-09-13 Applied Materials, Inc. Rare-earth oxide based monolithic chamber material
US9725799B2 (en) 2013-12-06 2017-08-08 Applied Materials, Inc. Ion beam sputtering with ion assisted deposition for coatings on chamber components
US9869013B2 (en) 2014-04-25 2018-01-16 Applied Materials, Inc. Ion assisted deposition top coat of rare-earth oxide
US9976211B2 (en) 2014-04-25 2018-05-22 Applied Materials, Inc. Plasma erosion resistant thin film coating for high temperature application
US20150311043A1 (en) 2014-04-25 2015-10-29 Applied Materials, Inc. Chamber component with fluorinated thin film coating
US10730798B2 (en) 2014-05-07 2020-08-04 Applied Materials, Inc. Slurry plasma spray of plasma resistant ceramic coating
US10196728B2 (en) 2014-05-16 2019-02-05 Applied Materials, Inc. Plasma spray coating design using phase and stress control
US10385459B2 (en) 2014-05-16 2019-08-20 Applied Materials, Inc. Advanced layered bulk ceramics via field assisted sintering technology
JP5927656B2 (ja) 2014-11-08 2016-06-01 リバストン工業株式会社 皮膜付き基材、その製造方法、その皮膜付き基材を含む半導体製造装置部材

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001181042A (ja) * 1999-12-27 2001-07-03 Kyocera Corp 耐食性セラミック部材およびその製造方法
JP2008273823A (ja) * 2007-04-27 2008-11-13 Applied Materials Inc ハロゲン含有プラズマに露出された表面の浸食速度を減じる装置及び方法

Also Published As

Publication number Publication date
TWI632125B (zh) 2018-08-11
US20160326061A1 (en) 2016-11-10
US20160318807A1 (en) 2016-11-03
JP2020138906A (ja) 2020-09-03
JP6681857B2 (ja) 2020-04-15
JP6526729B2 (ja) 2019-06-05
WO2015073458A1 (en) 2015-05-21
KR20160086318A (ko) 2016-07-19
TWI583654B (zh) 2017-05-21
KR101832477B1 (ko) 2018-02-26
US10584068B2 (en) 2020-03-10
US9890086B2 (en) 2018-02-13
US9617188B2 (en) 2017-04-11
US20180044247A1 (en) 2018-02-15
TW201527259A (zh) 2015-07-16
CN105408286A (zh) 2016-03-16
KR20200066378A (ko) 2020-06-09
US9884787B2 (en) 2018-02-06
CN107759220A (zh) 2018-03-06
JP6259111B2 (ja) 2018-01-10
CN111233473A (zh) 2020-06-05
US20180044246A1 (en) 2018-02-15
KR20170103043A (ko) 2017-09-12
TW201718435A (zh) 2017-06-01
KR102119866B1 (ko) 2020-06-05
US20160326060A1 (en) 2016-11-10
JP2016537298A (ja) 2016-12-01
JP2018048065A (ja) 2018-03-29
TW201841866A (zh) 2018-12-01
JP6526729B6 (ja) 2019-06-26
US9440886B2 (en) 2016-09-13
CN111233474A (zh) 2020-06-05
US10577286B2 (en) 2020-03-03
US10934216B2 (en) 2021-03-02
JP2017143271A (ja) 2017-08-17
CN111333420A (zh) 2020-06-26
US20190263722A1 (en) 2019-08-29
CN106810257A (zh) 2017-06-09
US20150133285A1 (en) 2015-05-14

Similar Documents

Publication Publication Date Title
KR102279667B1 (ko) 희토류 옥사이드 기반 모놀리식 챔버 재료
JP7292060B2 (ja) プラズマ環境にあるチャンバ構成要素のためのY2O3-ZrO2耐エロージョン性材料
US9358702B2 (en) Temperature management of aluminium nitride electrostatic chuck
US20180251406A1 (en) Sintered ceramic protective layer formed by hot pressing
US20230051800A1 (en) Methods and apparatus for plasma spraying silicon carbide coatings for semiconductor chamber applications

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant