TW201708968A - 微影術系統及其使用方法 - Google Patents

微影術系統及其使用方法 Download PDF

Info

Publication number
TW201708968A
TW201708968A TW104139740A TW104139740A TW201708968A TW 201708968 A TW201708968 A TW 201708968A TW 104139740 A TW104139740 A TW 104139740A TW 104139740 A TW104139740 A TW 104139740A TW 201708968 A TW201708968 A TW 201708968A
Authority
TW
Taiwan
Prior art keywords
mask
cleaning module
module
gas
lithography
Prior art date
Application number
TW104139740A
Other languages
English (en)
Other versions
TWI575339B (zh
Inventor
張書豪
陳家楨
陳政宏
高國璋
簡銘進
簡上傑
嚴濤南
黃建元
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW201708968A publication Critical patent/TW201708968A/zh
Application granted granted Critical
Publication of TWI575339B publication Critical patent/TWI575339B/zh

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/70858Environment aspects, e.g. pressure of beam-path gas, temperature
    • G03F7/70866Environment aspects, e.g. pressure of beam-path gas, temperature of mask or workpiece
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/70908Hygiene, e.g. preventing apparatus pollution, mitigating effect of pollution or removing pollutants from apparatus
    • G03F7/70925Cleaning, i.e. actively freeing apparatus from pollutants, e.g. using plasma cleaning

Landscapes

  • Health & Medical Sciences (AREA)
  • Epidemiology (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Public Health (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Atmospheric Sciences (AREA)
  • Environmental & Geological Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Toxicology (AREA)
  • Plasma & Fusion (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

一種微影術系統包含一負載鎖定腔室,此負載鎖定腔室包含配置用以接收遮罩之開口;曝光模組,其配置用以經由使用遮罩,使半導體晶圓曝露於光源;及清洗模組,其嵌入在此微影術工具內,此清洗模組配置用以自遮罩清洗碳粒子。

Description

遮罩清洗
本揭露係有關於一種微影術系統及其使用方法,且特別是指一種具有乾淨遮罩的微影術系統及其使用方法。
半導體積體電路(integrated circuit;IC)工業已經歷指數增長。IC材料及設計之技術進步已產生數代IC,其中每一代均具有與前一代相比更小且更複雜的電路。在IC演進過程中,在幾何尺寸(亦即,可使用製造製程產生的最小元件或接線)已減小的同時,功能密度(亦即,每晶片面積之互連元件數目)已總體上增加,。此按比例縮小過程通常藉由增加生產效率及降低相關成本來提供益處。此按比例縮小亦已增加IC處理及製造之複雜性,並且為實現此等進展,在IC處理及製造中需要類似發展。在與微影術圖案化相關聯之一個實例中,用於微影術製程之光罩(或遮罩)上面界定有電路圖案,且此電路圖案將被轉印至晶圓上。在高級微影術技術中,紫外線(extreme ultraviolet;EUV)微影術製程係用反射遮罩來實施。EUV遮罩儘可能地保持清潔且無缺陷是很重要的。
根據一個實例,微影術系統包含負載鎖定腔室,此負載鎖定腔室包含配置用以接收遮罩之開口;配置用以經由使用遮罩使半導體晶圓曝光至光源之曝光模組;及嵌入在微影術工具內之清洗模組,此清洗模組配置用以自遮罩清洗碳粒子。
根據一個實例,微影術系統包含開口;用以使晶圓曝光至光源之曝光模組;用以在開口與曝光模組之間移動遮罩之機器人機構;清洗模組;及控制系統,其包含處理器及記憶體,此記憶體包含機器可讀指令,此等機器可讀指令當由處理器執行以導致機器人機構經由開口接收遮罩時,將使得機器人機構將遮罩置放在清洗模組中,且使得清洗模組清洗遮罩。
根據一個實例,一種微影術之方法包含將遮罩加載至微影術工具中,當遮罩在微影術工具中時,使遮罩處於真空環境內;當遮罩在微影術工具中時使用氫自遮罩之表面移除碳粒子;及在將遮罩保持在真空環境中時,將遮罩轉移至曝光模組以使用遮罩實施微影術曝光製程。
100‧‧‧遮罩
101‧‧‧基板
102‧‧‧反射多層
104‧‧‧覆蓋層
106‧‧‧圖案化層
108‧‧‧碳積聚物
110‧‧‧氫
112‧‧‧氫
114‧‧‧甲烷氣體CH4
200‧‧‧微影化工具
202‧‧‧遮罩
204‧‧‧開口
205‧‧‧外部門
206‧‧‧遮罩負載鎖定腔室
207‧‧‧內部門
208‧‧‧清洗模組
209‧‧‧泵
210‧‧‧遮罩路徑
212‧‧‧曝光模組
214‧‧‧晶圓
216‧‧‧開口
218‧‧‧晶圓負載鎖定腔室
220‧‧‧清洗模組
224‧‧‧遮罩庫
226‧‧‧遮罩檢查模組
228‧‧‧控制系統
230‧‧‧處理器
232‧‧‧記憶體
302‧‧‧輻射源
304‧‧‧照射器模組
306‧‧‧投影模組
308‧‧‧遮罩台
310‧‧‧晶圓臺
400‧‧‧清洗模組
401‧‧‧清洗模組腔室
402‧‧‧遮罩台
404‧‧‧氫氣源
408‧‧‧氫氣
410‧‧‧氫自由基
412‧‧‧氫電漿
414‧‧‧電漿源
416‧‧‧超音波產生器
420‧‧‧清洗模組
430‧‧‧清洗模組
440‧‧‧清洗模組
441‧‧‧雷射分解清洗系統
442‧‧‧雷射源
444‧‧‧雷射束
446‧‧‧碳粒子
450‧‧‧清洗模組
451‧‧‧紫外線臭氧清洗系統
452‧‧‧紫外線(UV)光源
453‧‧‧UV光
454‧‧‧臭氧源
455‧‧‧臭氧
456‧‧‧二氧化碳
458‧‧‧水
460‧‧‧清洗模組
461‧‧‧電子激發脫附清洗系統
462‧‧‧電子束源
464‧‧‧電子束
466‧‧‧碳粒子
500‧‧‧方法
502‧‧‧步驟
504‧‧‧步驟
506‧‧‧步驟
508‧‧‧步驟
510‧‧‧步驟
當結合隨附圖式閱讀時,自以下詳細描述將很好地理解本發明之態樣。應注意,根據工業中之標準慣例,各特徵結構並非按比例繪製。事實上,出於論述清晰之目的,可任意增加或減小各特徵結構之尺寸。
第1A圖為根據本文所描述之原理之一個實例,繪示在遮罩表面上之示意性碳積聚物之圖式。
第1B圖為根據本文所描述之原理之一個實例,繪示用以自遮罩移除碳積聚物之示意性清洗製程之圖式。
第2A圖為根據本文所描述之原理之一個實例,繪示具有整合清洗模組之示意性微影術工具之圖式。
第2B圖為根據本文所描述之原理之一個實例,繪示具有整合至遮罩負載鎖定腔室中之清洗模組之示意性微影術工具的圖式。
第2C圖為根據本文所描述之原理之一個實例,繪示具有整合清洗模組之微影術工具之各種特徵的圖式。
第3圖為根據本文所描述之原理之一個實例,繪示示意性曝光模組之圖式。
第4A圖為根據本文所描述之原理之一個實例,繪示使用氫自由基之示意性清洗模組的圖式。
第4B圖為根據本文所描述之原理之一個實例,繪示使用氫電漿之示意性清洗模組的圖式。
第4C圖為根據本文所描述之原理之一個實例,繪示包含超音波產生器之示意性清洗模組之圖式。
第4D圖為根據本文所描述之原理之一個實例,繪示包含雷射清洗系統之示意性清洗模組之圖式。
第4E圖為根據本文所描述之原理之一個實例,繪示包含紫外線臭氧清洗系統之示意性清洗模組的圖式。
第4F圖為根據本文所描述之原理之一個實例,繪示包含 電子束清洗系統之示意性清洗模組之圖式。
第5圖為根據本文所描述之原理之一個實例,繪示示意性方法之流程圖,此示意性方法用於使用具有整合清洗模組之微影術工具。
以下揭示內容提供許多不同實施例或實例,以用於實施所提供標的之不同特徵結構。下文描述元件及排列之特定實例以簡化本發明。當然,此等實例僅為示例且並不意欲為限制性。舉例而言,以下描述中在第二特徵結構上方或第二特徵結構上形成第一特徵結構可包含以直接接觸形成第一特徵結構及第二特徵結構的實施例,且亦可包含可在第一特徵結構與第二特徵結構之間形成額外特徵結構以使得第一特徵結構及第二特徵結構可不直接接觸的實施例。另外,本發明可在各種實例中重複元件符號及/或字母。此重複係出於簡明性及清晰之目的,且本身並不指示所論述之各實施例及/或配置之間的關係。
進一步地,為了便於描述,本文可使用空間相對性術語(諸如「之下」、「下方」、「下部」、「上方」、「上部」及類似者)來描述圖式中所說明之一個部件或特徵結構與另一部件(或多個部件)或特徵結構(或多個特徵結構)之關係。除了諸圖所描繪之定向外,空間相對性術語意欲包含在使用或操作中的裝置之不同定向。設備可經其他方 式定向(旋轉90度或處於其他定向)且因此可同樣地解讀本文所使用之空間相對性描述詞。
如上文所描述,EUV遮罩儘可能地保持清潔且無缺陷很很重要的。已發現當EUV遮罩曝露於大氣時,碳積聚物薄層在遮罩表面上積聚。此碳積聚物層通常小於5奈米厚。此層係由大氣內之CO2氣體之碳積聚。碳積聚物與在遮罩使用期間積聚之粒子係屬不同之問題。
就典型微影術工具而言,插入遮罩至負載鎖定腔室中。隨後自負載鎖定腔室泵送出空氣以使得遮罩處於真空中。隨後可將遮罩移動至曝光腔室中,其中此遮罩用於在半導體晶圓上形成圖案。隨後使一系列晶圓穿過曝光腔室以使得遮罩之圖案轉印至晶圓。上文所描述之薄碳積聚物在EUV曝光製程期間會自然地移除。此係歸因於存在於曝光腔室內的氫。此氫與碳反應以移除碳積聚物。然而,第一對晶圓在碳積聚物未完全移除之情況下曝光,因此第一對晶圓受到不利影響。吾人之實驗發現現有的清洗遮罩之方法並不有效。其他實驗鑒別出,當清洗遮罩自清洗模組轉移至大氣環境且隨後轉移至微影術工具時,其再次受到污染。
根據本文所描述之原理,清洗模組經整合至微影術工具中。將在下文中更詳細地描述之清洗模組經整合在微影術工具內以使得在將遮罩置放在真空環境內之後的某一時刻,對遮罩進行清洗以移除碳積聚物且將其轉移至曝光模組以進行微影術曝光。特別的是,遮罩在微影術工具內於真空條件下經清洗、維護且經轉移以進行微影術曝光。在一 個實例中,清洗模組經整合至負載鎖定腔室中。在一個實例中,清洗模組經整合至負載鎖定腔室與曝光模組之間的分離腔室中。亦考慮將清洗模組置放於微影術工具內之其他位置。經由使用此清洗模組,在第一晶圓曝光之前經移除薄碳積聚物。因此,第一對晶圓未受到不利影響。
第1A圖係繪示遮罩100之表面上的示意性碳積聚物108的圖式。根據本實例,遮罩100包含基板101、反射多層102、覆蓋層104及圖案化層106。
在一個實例中,遮罩100為EUV遮罩。EUV微影術利用反射遮罩而非透射遮罩。EUV微影術利用在紫外線(EUV)區域中發光的掃描儀,此光為具有紫外線波長(諸如10nm至15nm)之光。在一個實例中,EUV源產生波長為約13.6nm之EUV。類似於一些光學掃描儀,一些EUV掃描儀提供4倍縮率之投影曝印(projection printing),區別在於:EUV掃描儀使用反射光學元件而非折射光學元件,即反射鏡代替透鏡;在真空環境中工作;及一些其他差異。EUV掃描儀提供在形成於反射遮罩上之吸收層(「EUV」遮罩吸收體)上所需的圖案。在EUV範圍內,所有材料均為高吸收性的。因此,使用反射光學元件而非折射光學元件。
基板101係由適宜材料製成,諸如低熱膨脹材料(Low Thermal Expansion Material;LTEM)或融熔石英。在各種實例中,LTEM包含摻雜TiO2之SiO2,或其他具有低熱膨脹之適宜材料。在一些實例中,另外將導電層置 放於LTEM基板101之背側下方以用於靜電吸附(electrostatic chucking)目的。在一個實例中,導電層包含氮化鉻(CrN),但是其他適宜的組成物亦為可能的。
遮罩100亦包含置放在基板101上之反射多層102。反射多層102包含複數個膜對,諸如鉬-矽(Mo/Si)膜對(例如,在各個膜對中鉬層在矽層上方或下方)。或者,反射多層102可包含鉬-鈹(Mo/Be)膜對,或可配置用以高度反射EUV光之其他適宜材料。選擇具適當性質的反射多層102以提供針對特定電磁輻射類型/波長之高反射率。特定言之,為達成EUV微影術之目的,設計反射多層102以反射EUV範圍內之光。反射多層102之各層厚度視EUV波長及入射角而定。特別的是,調節反射多層102之厚度(及膜對之厚度)以在各界面處繞射之EUV光達到最大相長干擾及最小EUV光吸收。
在一些實施例中,遮罩100進一步包含在反射多層102上之覆蓋層104。覆蓋層104經設計以對EUV光透明且保護反射多層102免遭損傷及/或氧化。在一個實例中,覆蓋層104係由釕製成。覆蓋層104可形成為晶體結構。覆蓋層之厚度可在約2奈米至7奈米範圍內。
遮罩100亦包含圖案化層106。圖案化層106用於在遮罩上形成所需曝光圖案106。圖案化層106可以多種方式達到此目的。在一個實施例中,圖案化層106為吸收材料。在另一實施例中,圖案化層106為相移材料,其類似於反射多層102之材料。
在圖案化層106為吸收材料之情況下,EUV遮罩100可被稱為二元式明暗度光罩(Binary Intensity Mask,BIM)。就BIM而言,在圖案化層106已經圖案化之後的剩餘部分為吸光或不透明的區域。在不透明區域中,存在吸收體,且入射光幾乎完全由吸收體吸收。在反射區域中,移除吸收體,且入射光係藉由底層反射多層102反射。在一些實例中,吸收材料為鉻或其他適宜的吸收材料。
在圖案化層106為第二反射多層之情況下,EUV遮罩可被稱為相移遮罩(Phase Shifting Mask,PSM)。就PSM而言,圖案化層106為經積體電路設計圖案化之第二反射層。第二反射層經設計以導致自反射多層106反射的光與自反射多層102反射的光之間的相位差(諸如180度相位差)。相移遮罩可為交替式相移遮罩或衰減式相移遮罩。在一些實例中,第二反射多層可類似於第一反射多層,諸如交替式Mo/Si膜。
如上文所描述,當遮罩100曝露於大氣時,薄碳積聚物108在遮罩100之表面上擴展。舉例而言,遮罩100在其使用時間之間可儲存數天。在儲存時,曝露於大氣導致碳形成。薄碳積聚物108將對遮罩100之反射性質造成不利的影響。
第1B圖係繪示用以自遮罩移除碳之示意性清洗製程之圖式。當曝露於氫110時,碳積聚物108藉由化學反應而移除。特定言之,氫112與碳積聚物108相互作用以形成甲烷氣體CH4 114。如上所述,儘管此移除製程 在曝光製程期間自然地發生,但其在曝光製程之前發生將更為理想。在一些實施例中,氫包含氫自由基。在一些實施例中,氫包含氫電漿。在一些其他實施例中,具有氫自由基或氫電漿之氫藉由超音波經進一步攪動以在氫中產生波以獲得增強的清洗效應。
第2A圖係繪示具有整合清洗模組208之示意性微影術工具200之圖式。根據本實例,微影術工具200包含遮罩負載鎖定腔室206、晶圓負載鎖定腔室218、曝光模組212及遮罩路徑210。
遮罩負載鎖定腔室206包含外部門205及內部門207。為將遮罩202插入至遮罩負載鎖定腔室206中,關閉內部門207以將遮罩負載鎖定腔室206與微影術工具200之其他元件隔離開來。由於微影術工具200之其他元件處於真空中,因此當打開外部門205以插入遮罩202時,能不使這些元件曝露於大氣。經由對應於外部門205之開口204將遮罩202插入至微影術工具200中。遮罩202可藉由配置在微影術工具200之遮罩負載鎖定腔室206中的機器人機構(未標示)插入。在將遮罩202插入遮罩負載鎖定腔室206內之後,關閉外部門205。隨後經由泵209自遮罩負載鎖定腔室206泵送出空氣。在遮罩202處於真空環境中之後,可打開內部門207,且可沿著遮罩路徑210移動遮罩202至曝光腔室212或微影術工具200內之任何其他腔室。
內部機器人搬運系統(與自開口204接收遮罩202相同的系統或不同的系統)包含多種用以移動遮罩通過微影術工具的自動系統。舉例而言,機器人搬運系統可具有機器人控制臂以抓取遮罩且將遮罩自一個模組移動至另一模組。機器人搬運系統可包含各種電動齒輪來移動機器人臂。機器人搬運機構亦可包含可移動軌道,可將遮罩置放在此軌道上以在模組之間移動遮罩。機器人搬運機構可遍及微影術工具200之內部包含多個機器人臂。機器人臂可配置用以將遮罩置放在各種模組內的遮罩臺上的精確位置。
遮罩路徑210係指在微影術工具200內,移動遮罩的任何路徑。通常,係指將遮罩202自遮罩負載鎖定腔室206移動至曝光工具212的路徑。然而,根據本文所描述之原理,首先將遮罩202沿著遮罩路徑210移動至清洗模組208。清洗模組208將在下文中更詳細地描述。在此實例中,清洗模組208定位在經設計以特定地容納清洗模組208之元件的分離腔室內。在清洗製程之後,可將遮罩202移動至曝光模組212。
微影術工具200亦包含晶圓負載鎖定腔室218以經由開口216接收一批或更多批晶圓214。在一些實例中,晶圓214係藉由機器人搬運系統經發送至微影術工具200。晶圓214可容納在晶圓箱內,諸如前開式標準晶圓盒(Front Opening Universal Pod;FOUP)。一旦晶圓位於晶圓負載鎖定腔室內,將晶圓214一一穿過微影術工具200,使曝光模組212將其圖案化。
第2B圖係繪示在遮罩負載鎖定腔室206中嵌入有清洗模組220之示意性微影術工具200的圖式。因此,在關閉外部門205且遮罩負載鎖定腔室206之內部變為真空環境之後,可在遮罩202離開遮罩鎖定腔室206且進一步轉移至微影術工具200之其他模組(諸如庫或曝光腔室212)之前清洗遮罩202。泵209可隨後用於移除由於清洗製程而產生之氫氣及甲烷氣體。
第2C圖係繪示具有嵌入清洗模組之微影術工具之各種特徵結構的圖式。根據本實例,微影術工具200亦包含控制系統228、遮罩庫224及遮罩檢查模組226。微影術工具200亦包含嵌入清洗模組208。
遮罩庫224用於儲存一個遮罩或複數個遮罩。在一個實例中,在藉由清洗模組208清洗遮罩之前將遮罩置放至遮罩庫224中。因此,當需要任何遮罩時,可藉由機器人搬運機構將其自遮罩庫224轉移至曝光模組212。
遮罩檢查模組226用於檢查遮罩。舉例而言,在清洗遮罩202之後,可在將其放置於遮罩庫224或曝光腔室212中之前對其進行檢查。在一些情況下,當在曝光模組212內使用一定時間段之後,可對遮罩202進行檢查。遮罩202之正常使用可導致遮罩上之粒子積聚物,其與上文所描述之碳積聚物(例如,第1圖中的108)為不同的問題。可使用其他技術(諸如另一嵌入清洗模組)及另一機構移除粒子積聚物。
控制系統228包含處理器230及記憶體232。 記憶體232可包含機器可讀指令,當此等指令由處理器230執行時,將導致控制系統228控制微影術工具200之各種態樣。舉例而言,控制系統228可控制機器人搬運機構移動遮罩及晶圓穿過微影術工具200。控制系統228亦可控制個別模組。例如,在使機器人搬運機構將遮罩移動至清洗模組208中之後,控制系統228可啟動清洗模組208。更特別的是,控制系統228可控制清洗模組208內之各種條件,其將在下文中更詳細論述的。
第3圖係繪示示意性曝光模組212之圖式。根據本實例,曝光模組212包含輻射源302、照明器模組304及投影模組306。照明器模組306將來自輻射源的光導引到置放在曝光模組212內的遮罩202處。投影模組306將藉由遮罩202反射的光導引至晶圓214。曝光模組212進一步包含遮罩台以將遮罩及晶圓臺緊固在一起,以便於緊固晶圓。
曝光模組212亦可一般化地稱為掃描儀,可操作此曝光模組212以利用特徵輻射源302來進行微影術曝光製程。在所說明的實例中,曝光模組212為EUV曝光模組212。設計EUV曝光模組212以使用EUV輻射將工件(在此情況下為晶圓214)曝光。在一些實例中,曝光模組212包含輻射源302,此輻射源302產生波長集中於約13.5nm處之EUV輻射。在一個此類實例中,輻射源302利用雷射產生之電漿(laser-produced plasma;LPP)以藉由使用雷射將介質如錫微滴加熱成高溫電漿來產生EUV輻射。
照明器模組304使由輻射源302產生之輻射聚焦及成形。照明器模組304可能包含折射光學元件,包含單體透鏡及/或陣列透鏡(例如,波帶板),且可包含反射光學元件,包含單體反射鏡及/或反射鏡陣列。在一些實例中,照明器模組304包含幾十個或甚至幾百個透鏡及/或反射鏡。排列及對準光學元件以將由輻射源302發射的輻射投影到保持在遮罩台308中的遮罩202上。照明器模組304之光學元件亦可沿著光路,使之輻射成形以便在遮罩202上產生特定的照明圖案。
遮罩202包含多個反射區域及吸收區域。在經吸收或從遮罩202反射開之後,導引輻射穿過投影模組306,此投影模組306亦稱為投影光學箱(projection optics box;POB)。類似於照明器模組304,投影模組306可包含折射光學元件,包含單體透鏡及/或陣列透鏡(例如,波帶板),且可包含反射光學元件,包含單體反射鏡及/或反射鏡陣列。排列及對準投影模組306之光學元件以導引輻射透射穿過遮罩106或從遮罩106反射開,並將輻射投影在工件(諸如晶圓214,其緊固至晶圓臺310)上。除了導引輻射,投影模組306之光學元件亦可放大、縮小、聚焦及/或以其他方式沿著光路使之輻射成形。
由遮罩202反射的輻射用於使晶圓214曝光以實現微影術目的。由投影模組306投影在晶圓上的輻射導致工件之感光元件變化。在一常見實例中,晶圓214包含感光阻劑層。感光阻劑層曝露於輻射之部分經歷化學轉換, 從而使其或多或少對顯影製程敏感。在一個實例中,在曝光之後,感光阻劑層經歷曝光後烘烤、顯影、洗滌及乾燥以便移除部分之感光阻劑層且使剩餘部分硬化。對晶圓214進行之後續處理步驟可使用圖案化阻劑以選擇性地處理部分晶圓214,諸如使用圖案化阻劑作為蝕刻遮罩進行蝕刻,或使用圖案化阻劑作為植入遮罩進行離子植入。
第4A圖係繪示使用氫自由基410之示意性清洗模組400之圖式。根據本實例,清洗模組400包含腔室401。在腔室401內有氫氣源404、加熱部件406及遮罩台402。遮罩202可藉由機器人搬運機構(未標示)置放在遮罩台403內。
氫氣源404係配置用以導引H2(氫氣)通過加熱部件406並到達遮罩202上方。在一個實例中,以大於2000標準立方公分/分鐘(standard cubic centimeters per minute,sccm)之流動速率提供氫氣408。亦考慮其他流動速率。
在一個實例中,加熱加熱部件406至大於1900℃之溫度。在一個實例中,加熱部件406為燈絲。當氫氣408從加熱的加熱部件604上經過時,氫氣可產生氫自由基410。氫自由基為不帶電之單獨的氫原子。氫自由基與碳積聚物(例如,第1圖中的108)反應形成甲烷氣體,並自遮罩202上移除碳。清洗模組400亦可包含泵(未標示)以自清洗模組腔室401移除甲烷氣體及未使用之氫氣。
第4B圖係繪示示意性清洗模組420之圖式,此示意性清洗模組420使用電漿氣體,諸如氫電漿。根據本實例,清洗模組420包含腔室401。在腔室401內有氣源404、電漿源414及遮罩台402。
電漿源414包含導電部件。在所說明之實例中,導電部件為電容性部件。然而,在一些實例中,導電部件414為電感部件。在一個實例中,將交流電(Alternating Current,AC)信號施加至導電部件414。AC信號可具有在1千伏至30千伏範圍內之電壓。AC信號可具有在約1千赫至40千赫範圍內之頻率。
在本實例中,使用氫氣。當氫氣408經過導電部件上方時,將產生氫電漿412。氫電漿412包含帶正電的氫原子(H+)。電漿源414導致電子自氫原子移除,因此留下帶正電之原子。氫電漿412與碳積聚物(例如,第1圖中的108)反應以產生甲烷氣體且移除碳積聚物。
可使用其他類型之氣體替代氫氣。例如,諸如氧氣(O2)之惰性氣體、呈氣態之水(H2O)或氮氣(N2)。在一些實例中,可使用惰性氣體。此類惰性氣體可包含但不限於氦氣(He)及氬氣(Ar)。在一些實例中,可使用鹵素氣體。 此類鹵素氣體可包含但不限於氟氣(F2)及氯氣(Cl2)。可使此類氣體經過電漿源414以產生相對應的電漿氣體,以與碳積聚物108反應並移除碳。可以針對特定氣體,調整施加至電漿源414之信號的頻率及電壓位準,進而產生此氣體之電漿。
在一些實例中,可將氣體加熱且使其經過遮罩以清洗碳積聚物。例如,可將氣體加熱至約350℉至900℉的範圍內之溫度。加熱的氣體可為適宜於自遮罩清洗碳積聚物108之任何類型的氣體。
第4C圖係繪示包含超音波產生器416之示意性清洗模組430之圖式。超音波產生器416在導引到遮罩處之氫氣408內產生波。超音波產生器416可產生頻率為20千赫或更高之波。超音波可改良清洗模組430之效率。超音波產生器416亦可用於第4A圖之使用氫自由基之清洗模組。在一些實施例中,超音波產生器416係配置用以在氫電漿或氫自由基內產生波。
可藉由控制系統,控制清洗模組400、420、430(例如,第2C圖中的228),以於設定時段內向遮罩施加清洗處理。例如,可在約2分鐘至3分鐘之範圍內施加清洗處理。亦可考慮其他清洗處理時間。清洗模組400、420、430可以上文所述之任何實例的方式實施之。例如,清洗模組400、420、430中之任何者均可整合至負載鎖定腔室(例如,第2A圖中的206)中,或作為微影術工具內之分離腔室的一部分。
第4D圖係繪示包含雷射分解清洗系統441之示意性清洗模組440的圖式。根據本實例,雷射分解清洗系統441包含雷射源442,此雷射源442係配置用以在遮罩表面處產生及/或導引雷射束444以移除碳積聚物108。藉由在遮罩處導引一具有特定參數之雷射束,碳積聚物可分 解且自清洗模組440送出。雷射束444可配置用以掃描遮罩之表面。在一些實例中,雷射源可同時產生多個雷射束。
在一個實例中,雷射源442可產生平均輸出功率在約1瓦特至20瓦特範圍內的雷射。雷射可具有在約1毫焦耳至10毫焦耳範圍內之脈衝能量。雷射可具有約100千瓦之峰值功率。雷射可具有約100奈秒之脈衝持續時間。雷射可具有在約1千赫至5千赫範圍內之重複速率。雷射可具有約20毫米/秒之線性掃描速度。雷射可具有在約0.1毫米至0.5毫米範圍內之光斑直徑。亦考慮將其他能充分分解碳積聚物108之參數範圍。
第4E圖係繪示包含紫外線臭氧清洗系統451之示意性清洗模組450的圖式。根據本實例,紫外線臭氧清洗系統451包含紫外線(UV)光源452及臭氧(O3)源454。UV光源452能產生特定波長之UV光453,使得臭氧455中之鍵斷裂。分解出的氧原子可隨後與遮罩表面上之碳鍵結以產生二氧化碳(CO2)。可用泵送機構將二氧化碳自清洗模組移除。在一些情況下,若遮罩之碳積聚物108內存在氫,則此氫在與氧原子反應後將以水(H2O)的形式移除。
可調整UV光源452,產生具有能使某些類型之化學鍵斷裂之波長的光453。例如,為使臭氧455之化學鍵斷裂,UV光源452可產生波長為約253.7奈米之光。在一些情況下,臭氧源454可提供氧(O2)以及臭氧455。 UV光源452亦可產生波長為約184.7奈米之光453,此波長使得將來自氧(O2)原子的鍵結斷裂。
第4F圖係繪示包含電子激發脫附清洗系統461之示意性清洗模組的圖式。根據本實例,電子激發脫附清洗系統461包含產生電子束464之電子束源462。導引電子束464經導引至遮罩上。電子束464可經由稱為電子激發脫附之製程自遮罩移除碳粒子。可將電子束464掃描過遮罩之表面各處以移除碳積聚物108。
電子激發脫附係由位於真空中的表面上方之電子束所產生之結果。當遮罩在正常大氣壓力下曝露於大氣時,各種分子可微弱地鍵結至遮罩之表面。此大氣分子之弱鍵結稱為吸附。分子可形成密度為約1015個原子/平方公分之單層。在一些情況下,視分子之鍵結能力而定,多個分子單層可形成於遮罩之表面上。當電子束464入射在遮罩之表面上時,可提供足夠能量以斷開將分子單層固定在適當位置之鍵。經移除的分子可隨後經由用於在清洗模組460內產生真空之泵系統移除。由於此等分子經由泵排出,因此存在極少的分子可再次吸附。在一些情況下,可提供不斷增加之電子以維持恆定脫附。
在一些情況下,清洗模組中可形成有如第4A圖至第4F圖中所描述之多個清洗系統。例如,清洗模組可利用氫自由基、電漿氣體、雷射分解、紫外線臭氧及電子激發脫附之任何組合。與各別清洗系統相關聯之各種清洗製程可同時或依序進行。
第5圖係繪示示意性方法500之流程圖,此示意性方法使用具有整合清洗模組之微影術工具。根據本實例,方法500包含步驟502,此步驟502用於將遮罩插入至遮罩負載鎖定腔室之開口中。此可藉由機器人搬運機構來完成。
方法500進一步包含步驟504,其用於在遮罩負載鎖定腔室內建立真空。特定言之,在遮罩完全位於負載鎖定腔室內之後,關閉在負載鎖定腔室與微影術工具外部環境之間的門。隨後,將空氣自負載鎖定腔室泵排出。
方法500可進一步包含步驟506,此步驟506用於將遮罩移動至清洗腔室。遮罩可藉由機器人搬運機構移動。在此過程期間,遮罩停留在真空環境中。
方法500進一步包含步驟508,此步驟508用於清洗遮罩以移除碳積聚物。此可藉由使用氫自由基、氫電漿或兩者之組合來完成。清洗製程可能持續一段時間段。在一個實例中,施加清洗製程2.5分鐘。
方法500進一步包含步驟510,此步驟510係將遮罩移動至曝光模組中。可藉由機器人搬運機構來完成。在此步驟期間,遮罩停留在真空環境內。因此,未出現額外碳積聚物。遮罩因此可準備好用於進行置放在曝光模組內之第一晶圓之第一曝光製程。在一些實例中,可在清洗之後將遮罩移動至遮罩庫。當遮罩準備好可供使用時,其可隨後自遮罩庫移動至曝光工具。由於遮罩庫亦為真空環境,因此當 遮罩位於庫中時,遮罩上未出現碳積聚物。因此,在將遮罩自遮罩庫移動至曝光模組之後,遮罩可準備以供使用。
根據一個實例,微影術系統包含負載鎖定腔室,此負載鎖定腔室包含配置用以接收遮罩之開口;配置用以經由使用遮罩使半導體晶圓曝光至光源之曝光模組;及嵌入在微影術工具內之清洗模組,此清洗模組配置用以自遮罩清洗碳粒子。
根據一個實例,微影術系統包含開口;用以使晶圓曝光至光源之曝光模組;用以在開口與曝光模組之間移動遮罩之機器人機構;清洗模組;及控制系統,其包含處理器及記憶體,此記憶體包含機器可讀指令,此等機器可讀指令當由處理器執行以導致機器人機構經由開口接收遮罩時,將使得機器人機構將遮罩置放在清洗模組中,且使得清洗模組清洗遮罩。
根據一個實例,一種微影術之方法包含將遮罩加載至微影術工具中,當遮罩在微影術工具中時,使遮罩處於真空環境內;當遮罩在微影術工具中時使用氫自遮罩之表面移除碳粒子;及在將遮罩保持在真空環境中時,將遮罩轉移至曝光模組以使用遮罩實施微影術曝光製程。
上文概述若干實施例之特徵,使得熟習此項技術者可更好地理解本發明之態樣。熟習此項技術者應瞭解,可輕易使用本發明作為設計或修改其他製程及結構的基礎,以便實施本文所介紹之實施例的相同目的及/或實現相同優勢。熟習此項技術者亦應認識到,此類等效結構並未脫 離本發明之精神及範疇,且可在不脫離本發明之精神及範疇的情況下做出對本發明的各種變化、替代及更改。
202‧‧‧遮罩
212‧‧‧曝光模組
214‧‧‧晶圓
302‧‧‧輻射源
304‧‧‧照射器模組
306‧‧‧投影模組
308‧‧‧遮罩台
310‧‧‧晶圓臺

Claims (20)

  1. 一種微影術系統,包含:一負載鎖定腔室,其包含一配置用以接收一遮罩之開口;一曝光模組,其配置用以經由使用該遮罩使一半導體晶圓曝光至一光源;以及一清洗模組,其嵌入在該微影術工具內,該清洗模組配置用以自該遮罩清洗碳粒子。
  2. 如請求項1所述之微影術工具,其中該微影術工具為一紫外線(EUV)微影術工具,該遮罩為一EUV遮罩,且該光源為一EUV光源。
  3. 如請求項1所述之微影術系統,其中該清洗模組係整合至該負載鎖定腔室中。
  4. 如請求項1所述之微影術系統,其中該清洗模組位於沿著該負載鎖定腔室與該曝光模組之間的一遮罩路徑上之一分離腔室內。
  5. 如請求項1所述之微影術系統,其中該清洗模組係配置用以產生氫自由基。
  6. 如請求項5所述之微影術系統,其中該清洗模組包含:一燈絲,其配置用以經加熱以加熱至一大於1900℃之溫度;以及一氣源,其配置用以使氫氣經過該燈絲以產生該等氫自由基。
  7. 如請求項1所述之微影術系統,其中該清洗模組配置用以產生一包含以下各者中之至少一者之電漿氣體:氫氣、氧氣、氮氣、氬氣、氦氣、氟氣及氯氣。
  8. 如請求項1所述之微影術系統,其中該清洗模組包含以下各者中之一者:一雷射分解清洗系統、一紫外線臭氧清洗系統及一電子激發脫附清洗系統。
  9. 如請求項1所述之微影術系統,其中該清洗模組包含一置放用以在一氣體內產生波之超音波產生器。
  10. 如請求項1所述之微影術系統,更包含配置用以儲存複數個遮罩之一庫模組,該庫置放於該負載鎖定腔室與該曝光工具之間,其中該清洗模組包含一配置用以自該清洗模組泵送出氣體之泵。
  11. 一種微影術系統,包含:一開口;一曝光模組,其用於使一晶圓曝光至一光源;一機器人機構,其用於在該開口與該曝光模組之間移動一遮罩;一清洗模組;以及一控制系統,其包含一處理器及一記憶體,該記憶體包含機器可讀指令,當該等機器可讀指令由該處理器執行時:導致該機器人機構經由該開口接收該遮罩;導致該機器人機構將該遮罩置放在該清洗模組中;以及導致該清洗模組清洗該遮罩。
  12. 如請求項11所述之系統,更包含一負載鎖定腔室,其中該清洗模組與該負載鎖定腔室整合在一起。
  13. 如請求項12所述之系統,其中該控制系統在接收該遮罩以之後進一步在該負載鎖定腔室中產生一真空。
  14. 如請求項11所述之系統,其中該控制系統進一步導致該清洗模組:加熱一燈絲;以及以一大於2000sccm之流動速率使一氣體經過該燈絲。
  15. 如請求項11所述之系統,其中該控制系統進一步導致該清洗模組:向一電漿源施加一交流電(AC)信號,該AC信號具有一在約1千伏至30千伏範圍內之電壓及一在1千赫至40千赫範圍內之頻率;以及以一大於2000sccm之流動速率使一氣體經過該燈絲。
  16. 如請求項11所述之系統,其中該控制系統進一步導致該清洗模組:以一大於2000sccm之流動速率使一氣體經過一電漿源;以及使用一電漿源自該氣體產生一電漿氣體。
  17. 如請求項16所述之系統,其中該控制系統進一步導致該清洗模組:使用一超音波產生器在該電漿內產生一波。
  18. 一種之方法,包含: 將一遮罩加載至一微影術工具中;當該遮罩在該微影術工具中時,使該遮罩在真空環境內;當該遮罩在該微影術工具中時,使用一氣體自該遮罩之表面移除碳粒子;以及在將該遮罩保持在真空環境中時,將該遮罩轉移至一曝光模組以使用該遮罩實施一微影術曝光製程。
  19. 如請求項18所述之方法,其中該氣體包含以下各者中之至少一者:氧氣、氮氣、氫氣、惰性氣體及鹵素氣體。
  20. 如請求項19所述之方法,更包含將該氣體加熱至一在約350℉至900℉範圍內之溫度。
TW104139740A 2015-08-31 2015-11-27 微影術系統及其使用方法 TWI575339B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US14/840,581 US10459352B2 (en) 2015-08-31 2015-08-31 Mask cleaning

Publications (2)

Publication Number Publication Date
TW201708968A true TW201708968A (zh) 2017-03-01
TWI575339B TWI575339B (zh) 2017-03-21

Family

ID=58098045

Family Applications (1)

Application Number Title Priority Date Filing Date
TW104139740A TWI575339B (zh) 2015-08-31 2015-11-27 微影術系統及其使用方法

Country Status (3)

Country Link
US (4) US10459352B2 (zh)
CN (1) CN106483772B (zh)
TW (1) TWI575339B (zh)

Families Citing this family (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102017207030A1 (de) * 2017-04-26 2018-10-31 Carl Zeiss Smt Gmbh Verfahren zur Reinigung von optischen Elementen für den ultravioletten Wellenlängenbereich
CN109524286B (zh) * 2017-09-20 2021-05-11 台湾积体电路制造股份有限公司 半导体晶圆加工方法、系统及系统的清洁方法
US10658215B2 (en) 2017-10-30 2020-05-19 Taiwan Semiconductor Manufacturing Co., Ltd. Reticle transportation container
KR102374206B1 (ko) 2017-12-05 2022-03-14 삼성전자주식회사 반도체 장치 제조 방법
NL2022644A (en) * 2018-03-05 2019-09-10 Asml Netherlands Bv Prolonging optical element lifetime in an euv lithography system
US10877382B2 (en) * 2018-08-14 2020-12-29 Taiwan Semiconductor Manufacturing Co., Ltd. Method for handling mask and lithography apparatus
DE102019124781A1 (de) 2018-09-28 2020-04-02 Taiwan Semiconductor Manufacturing Co., Ltd. Verfahren zum herstellen und behandeln einer fotomaske
US11360384B2 (en) 2018-09-28 2022-06-14 Taiwan Semiconductor Manufacturing Co., Ltd. Method of fabricating and servicing a photomask
KR20200128270A (ko) 2019-05-02 2020-11-12 삼성전자주식회사 Euv 노광 장치 및 그를 이용한 반도체 소자의 제조 방법
KR20200128275A (ko) * 2019-05-02 2020-11-12 삼성전자주식회사 반도체 소자의 제조 장치 및 그를 이용한 반도체 소자의 제조 방법
US11347143B2 (en) * 2019-09-30 2022-05-31 Taiwan Semiconductor Manufacturing Company Ltd. Cleaning method, method for forming semiconductor structure and system thereof
CN114077163B (zh) 2020-08-14 2023-03-31 长鑫存储技术有限公司 光罩传送装置及曝光系统
US11550229B1 (en) 2021-06-18 2023-01-10 Taiwan Semiconductor Manufacturing Company, Ltd. Enhancing lithography operation for manufacturing semiconductor devices

Family Cites Families (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3513437B2 (ja) * 1999-09-01 2004-03-31 キヤノン株式会社 基板管理方法及び半導体露光装置
US6279249B1 (en) * 1999-12-30 2001-08-28 Intel Corporation Reduced particle contamination manufacturing and packaging for reticles
US6387602B1 (en) * 2000-02-15 2002-05-14 Silicon Valley Group, Inc. Apparatus and method of cleaning reticles for use in a lithography tool
JP3628939B2 (ja) * 2000-06-27 2005-03-16 松下電器産業株式会社 露光方法及び露光装置
US6781673B2 (en) * 2000-08-25 2004-08-24 Asml Netherlands B.V. Mask handling apparatus, lithographic projection apparatus, device manufacturing method and device manufactured thereby
JP2003173958A (ja) * 2001-12-06 2003-06-20 Nikon Corp 露光方法及び露光装置
CN1474233A (zh) * 2002-03-01 2004-02-11 Asml荷兰有限公司 传送贮藏箱中掩模或基片的方法和所用设备及其制造方法
US6826451B2 (en) * 2002-07-29 2004-11-30 Asml Holding N.V. Lithography tool having a vacuum reticle library coupled to a vacuum chamber
KR100563102B1 (ko) * 2002-09-12 2006-03-27 에이에스엠엘 네델란즈 비.브이. 표면들로부터 입자들을 제거함으로써 세정하는 방법,세정장치 및 리소그래피투영장치
TWI286674B (en) * 2002-12-27 2007-09-11 Asml Netherlands Bv Container for a mask, method of transferring lithographic masks therein and method of scanning a mask in a container
JP4564742B2 (ja) * 2003-12-03 2010-10-20 キヤノン株式会社 露光装置及びデバイス製造方法
US7628864B2 (en) * 2004-04-28 2009-12-08 Tokyo Electron Limited Substrate cleaning apparatus and method
JP4710308B2 (ja) * 2004-10-29 2011-06-29 株式会社ニコン レチクル搬送装置、露光装置、及びレチクルの搬送方法
US7362412B2 (en) 2004-11-18 2008-04-22 International Business Machines Corporation Method and apparatus for cleaning a semiconductor substrate in an immersion lithography system
US7933000B2 (en) * 2006-11-16 2011-04-26 Asml Netherlands B.V. Device manufacturing method, method for holding a patterning device and lithographic apparatus including an applicator for applying molecules onto a clamp area of a patterning device
JP2010501999A (ja) * 2006-12-08 2010-01-21 キヤノン株式会社 露光装置
WO2009121385A1 (en) * 2008-04-03 2009-10-08 Carl Zeiss Smt Ag Cleaning module and euv lithography device with cleaning module
US8142959B2 (en) 2008-09-05 2012-03-27 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus for gating photomask contamination
NL2003405A (en) * 2008-09-29 2010-03-30 Asml Netherlands Bv System for contactless cleaning, lithographic apparatus and device manufacturing method.
DE102009045008A1 (de) * 2008-10-15 2010-04-29 Carl Zeiss Smt Ag EUV-Lithographievorrichtung und Verfahren zum Bearbeiten einer Maske
TWI450324B (zh) 2010-01-25 2014-08-21 Gudeng Prec Ind Co Ltd 微影設備之光罩清潔方法及微影設備之光罩清潔系統
WO2012024131A2 (en) * 2010-08-16 2012-02-23 Rasirc, Inc. Gas-liquid phase transition method and apparatus for cleaning of surfaces in semiconductor manufacturing
US8475977B2 (en) * 2010-12-02 2013-07-02 Intermolecular, Inc Protective cap for extreme ultraviolet lithography masks
US20130255717A1 (en) 2012-04-03 2013-10-03 Kla-Tencor Corporation System and method for cleaning surfaces and components of mask and wafer inspection systems based on the positive column of a glow discharge plasma
FR2990743B1 (fr) 2012-05-15 2014-05-02 Valeo Sys Controle Moteur Sas Vanne de controle moteur a etancheite amelioree
US9138785B2 (en) * 2012-07-05 2015-09-22 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus for enhanced cleaning and inspection
JP5881590B2 (ja) * 2012-12-12 2016-03-09 株式会社東芝 マスククリーナー及びクリーニング方法
US20140226136A1 (en) * 2013-02-11 2014-08-14 Patrick J. Gagnon Method and apparatus for cleaning photomask handling surfaces
JP2014160778A (ja) * 2013-02-20 2014-09-04 Toshiba Corp 半導体製造装置および半導体装置の製造方法
US9612521B2 (en) 2013-03-12 2017-04-04 Applied Materials, Inc. Amorphous layer extreme ultraviolet lithography blank, and manufacturing and lithography systems therefor
US10459353B2 (en) * 2013-03-15 2019-10-29 Taiwan Semiconductor Manufacturing Company, Ltd. Lithography system with an embedded cleaning module
KR102166974B1 (ko) 2013-11-11 2020-10-16 도쿄엘렉트론가부시키가이샤 에칭 후 폴리머의 제거 및 하드마스크 제거의 향상을 위한 방법 및 하드웨어
US10067418B2 (en) * 2014-05-12 2018-09-04 Taiwan Semiconductor Manufacturing Company, Ltd. Particle removal system and method thereof
US9740094B2 (en) * 2015-08-21 2017-08-22 Taiwan Semiconductor Manufacturing Company, Ltd. Damage prevention on EUV mask
US11062898B2 (en) * 2018-07-30 2021-07-13 Taiwan Semiconductor Manufacturing Co., Ltd. Particle removal apparatus, particle removal system and particle removal method

Also Published As

Publication number Publication date
CN106483772B (zh) 2018-07-17
US20170060005A1 (en) 2017-03-02
US20220179326A1 (en) 2022-06-09
US11740563B2 (en) 2023-08-29
CN106483772A (zh) 2017-03-08
US11921434B2 (en) 2024-03-05
US20200050118A1 (en) 2020-02-13
TWI575339B (zh) 2017-03-21
US20230124211A1 (en) 2023-04-20
US11256179B2 (en) 2022-02-22
US10459352B2 (en) 2019-10-29

Similar Documents

Publication Publication Date Title
TWI575339B (zh) 微影術系統及其使用方法
KR101056513B1 (ko) 리소그래피 장치용 세정 장치 및 게터
KR20080015048A (ko) 리소그래피 장치 및 그 세정 방법
JP2004519868A (ja) Euvに透明な境界構造
US20230341767A1 (en) Method of fabricating and servicing a photomask
KR100882042B1 (ko) 노광장치, 제거방법 및 디바이스의 제조방법
JP5678671B2 (ja) クリーニング方法およびクリーニング装置
JP2005332972A (ja) 光学素子、光学装置、及び半導体デバイスの製造方法
US11385538B2 (en) Cleaning method for photo masks and apparatus therefor
US11360384B2 (en) Method of fabricating and servicing a photomask
CN114503034A (zh) 清洁装置、光刻设备、去除水或其它污染物的方法、和器件制造方法
KR101253948B1 (ko) 포토마스크 제조 방법의 실행 장치
JP4438072B2 (ja) 露光装置のレンズのクリーニング方法
KR102613748B1 (ko) 포토 마스크를 위한 세정 방법 및 장치
TW202020551A (zh) 光罩雷射蝕刻
US20220382168A1 (en) Method of manufacturing semiconductor devices using a photomask
US11681234B2 (en) Mask for attracting charged particles and method for using the same
KR20220025748A (ko) 패터닝 디바이스와 기타 기판의 표면 처리를 위한 표면 처리 장치 및 방법
KR20240038541A (ko) 노광 장치 및 오염 제거 장치
CN114690545A (zh) 倍缩光罩容器及微影系统