KR101253948B1 - 포토마스크 제조 방법의 실행 장치 - Google Patents

포토마스크 제조 방법의 실행 장치 Download PDF

Info

Publication number
KR101253948B1
KR101253948B1 KR1020127034224A KR20127034224A KR101253948B1 KR 101253948 B1 KR101253948 B1 KR 101253948B1 KR 1020127034224 A KR1020127034224 A KR 1020127034224A KR 20127034224 A KR20127034224 A KR 20127034224A KR 101253948 B1 KR101253948 B1 KR 101253948B1
Authority
KR
South Korea
Prior art keywords
photomask
chamber
infrared
sealed chamber
thin film
Prior art date
Application number
KR1020127034224A
Other languages
English (en)
Other versions
KR20130016404A (ko
Inventor
아나우드 파브레
마갈리 다브네
장-마리 포래이
Original Assignee
알까뗄 루슨트
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 알까뗄 루슨트 filed Critical 알까뗄 루슨트
Publication of KR20130016404A publication Critical patent/KR20130016404A/ko
Application granted granted Critical
Publication of KR101253948B1 publication Critical patent/KR101253948B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/82Auxiliary processes, e.g. cleaning or inspecting
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/38Masks having auxiliary features, e.g. special coatings or marks for alignment or testing; Preparation thereof
    • G03F1/48Protective coatings
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Cleaning In General (AREA)
  • Cleaning Or Drying Semiconductors (AREA)

Abstract

포토마스크 제조 방법은 포토마스크를 클리닝하는 적어도 하나의 단계와, 포토마스크상에 보호 박막을 위치시키는 적어도 하나의 단계를 포함한다. 본 발명의 방법은 클리닝 단계와 박막 위치 단계 사이에서 암모니아 및 황산염 잔류물을 제거하는 적어도 하나의 단계를 더 포함한다. 이러한 단계는 하기의 절차를 포함한다.
- 포토마스크를 밀봉된 챔버내에 위치시키는 단계와,
- 상기 밀봉된 챔버 내에 수용되어 있는 가스를 펌핑제거함으로써 밀봉된 챔버내에 저압을 생성하는 단계와,
- 포토마스크를 적외선에 노출시키는 단계와,
- 적외선 노출을 중단시키는 단계와,
- 포토마스크의 온도가 50℃를 초과하지 않도록 체크하는 단계와,
- 챔버내에 대기압을 복귀시키는 단계와,
- 챔버로부터 포토마스크를 제거하는 단계.
본 발명의 방법을 실행하기 위한 장치는 적어도 하나의 포토마스크와, 챔버내에 진공을 생성 및 유지하는 펌핑 유닛과, 밀봉된 챔버 내측에 위치된 적어도 하나의 포토마스크를 보지하는 시스템과, 적외선 수단과, 가스 주입 수단을 포함한다.

Description

포토마스크 제조 방법의 실행 장치{METHOD OF FABRICATING PHOTOMASKS AND DEVICE FOR IMPLEMENTING IT}
본 발명은 서브마이크론 치수를 갖는 마이크로전자 부품의 제조에 특별히 사용되는 포토마스크를 제조하는 방법에 관한 것이다. 또한, 본 발명은 이러한 방법을 실행하기 위한 디바이스에 관한 것이다.
반도체 기판, 특히 실리콘으로 제조된 반도체 기판은 현재 마스크로부터 기판상에 전사되는 패턴에 의거한 플라즈마 에칭 기술을 이용하여 마이크로기계가공된다. 포토마스크는 포토그래픽 네가티브와 동등하다. 포토마스크는 매체상에 인쇄될 정보를 갖고 있다. 일반적으로, 포토마스크는 노출 및 반도체 기판상으로의 인쇄를 위한 투과 방법으로서 이용된다. 포커싱 파장을 포함한 다양한 파라미터가, 기판상에 직접 인쇄되는 활성 영역의 깊이를 규정한다. 활성 영역의 외측에서, 세부사항은 인쇄되지 않지만, 포토마스크의 투과에 영향을 줄 수 있다. 결함이 인쇄될 것이기 때문에, 활성 영역내의 오염물질은 기판상에 인쇄된 이미지에 직접적인 영향을 준다. 그러나, 이러한 오염물질이 활성 영역의 외측에서 발생된다면, 이러한 이미지에 간접적인 영향, 예를 들면 콘트라스트(contrast)를 저하시키거나 또는 포토마스크의 투과를 감소시키는 것과 같은 영향만을 준다.
또한, 반도체 산업은 점차 소형이고 집적 가능하고 비용 저렴한 전자 부품을 제조하기 위해서 인쇄된 이미지의 치수를 어떻게 감소시킬 것인가를 연구하고 있다. 포토마스크의 치수는 보다 작게 되는 동시에 오염의 요구조건은 점차 엄격해지고 있다. 따라서, 포토마스크는 청결하고 가동성이 유지되어야 하는 핵심적이고 고가이며 복잡한 소자이다.
이들 오염 입자가 반도체 기판상에 인쇄 및 복제되는 결함을 생성하기 때문에, 포토마스크의 활성 표면은 특히 초점 평면에 입자가 전혀 없어야 한다. 그 제조 프로세스의 종료시에, 마스크는 세정되며, 다음에 임의의 입자로부터 그 활성 표면을 보호하기 위해서 포토마스크에 박막(pellicle)이 도포된다. 박막의 목적은 사용자에 의한 사용 수명 동안에 포토마스크를 보호하는 것이다. 박막은 그것을 통과하는 광선에 대한 양호한 투과 및 감소된 충격을 갖는 광학 멤브레인 디포지트(평행 다중층 표면)로 구성된다. 박막은 포토마스크의 활성 표면을 벗어나 부착되어서 활성 표면으로부터 소정 공간만큼 분리된다. 이에 의해, 포토마스크의 활성 표면상에 축적되는 오염물질은 (활성 표면으로부터 물리적으로 분리되어 있는) 초점 영역의 외측에서, 박막상에 축적될 것이다. 이러한 방식으로 이들 오염물질은 석판인쇄 전사(lithographic transfer) 중 인쇄되지 않을 것이다: 박막은 입자 오염물질에 대항해서 직접 보호하지는 않지만 이미지상의 그 충격을 감소시킬 수 있는 것이다.
미국 특허 공개 제 US 2001/005944 호에는 포토마스크와 박막 사이의 공간에 존재할 수도 있는 O2, CO2 및 H2O와 같은 주위 대기로부터 들어온 가스 오염물질을 제거하는 것을 개시하고 있다. 이들 오염물질은, 이들이 통상적으로 사용되는 157nm에서 복사 투과를 규제함으로써 사진석판 동작이 적절히 처리되지 못하게 하기 때문에 특히 해롭다. 오염물질제거는 밀봉된 챔버 내에서, 진공에서 또는 광학적으로 비활성 가스에서 자외선 복사, 플라즈마, 오존 및/또는 열에 대한 노출을 통해 이뤄진다. 이러한 처리는 가스 탈착을 가속시킨다.
그러나, 보다 작은 패턴을 만들기 위한 노출에 필요한 에너지의 증가는 새로운 문제를 야기하였다. 박막 아래에서 발견된, 암모니아, 불소 및 휘발성 유기 화합물과 같은 가스들은 높은 에너지에 노출시켰을 때 서로 화합해서, 시간 경과에 따라 성정하는 결정을 만든다. 박막 아래에 그리고 그에 따라 초점 영역에서 나타나는 결정은 기판상의 인쇄된 영역에 결함을 생성한다. 이들 결정은 기판 상에 수많은 예측불가능한 결함을 야기하기 때문에 중요한 문제를 나타내며, 가장 진보된 현재의 포토마스크의 20% 이상에 악영향을 미칠 수도 있다.
발생되는 화학 반응중 하나는 하기의 식에 의해 요약될 수 있다.
H2SO4+NH3+Hν→(NH4)2)SO4 solid
암모니아(NH3)는 많은 공급원으로부터 오지만, 본질적으로는 포토마스크 제조 영역에서 사람의 활동으로부터 그리고 이들 포토마스크의 사용으로부터 온다. 결정 성장의 현상을 감소시킬 목적으로, 반도체 및 포토마스크 제조업자들은 클린 룸에서 발견되는 암모니아를 제한하기 위해 막대한 투자를 했으며, 포토마스크가 암모니아와 접촉하는 것을 감소시키기 위해서 보호된 환경에서 포토마스크를 저장 및 운송하기 위한 계획을 설정했다.
황산(H2SO4)은 제조 프로세스의 단계 중에, 특히 에칭 및 스트리핑 동작 중에 포토마스크 제조업자들에 의해 흔히 사용된다. 가장 빈번하게 발생하는 것으로서, 박막 배치 단계 이전에 있는 클리닝 프로세스의 최종 단계는 황산염을 필요로 하고, 황산염 잔류물을 발생한다. 이전에 가해진 수지를 제거하는 것으로 구성되고 클리닝 이전에 이뤄지는 스트리핑 프로세스의 최종 단계도 또한 황산염 잔류물을 발생한다. 따라서, 박막 아래에 포획된 이러한 황산염 잔류물은 탈착될 것이며, 이것이 실리콘 칩 제조업자 플랜트에서 결정이 나타나는 주 원인이다. 포토마스크 제조업자들은 방법을 변경시킴으로써 또는 황산염 잔류물 함량을 감소시키고자 하는 단계를 추가함으로써 클리닝 단계중 사용된 황산염의 양을 감소시키기 위해 노력하고 있다. 그러나, 시행된 이러한 새로운 방법 또는 경감 기술은 돈이 많이 들고 덜 효과적이며, 제조 프로세스의 단계들 중 황산염의 사용을 완전히 제거하는 것은 불가능하다.
클리닝 단계 전에 수행되는 스트리핑 단계 이후에 박막이 적소에 배치된다. 최종 클리닝 단계는 클리닝 영역에서 실행된다. 이러한 박막은 클린 룸 또는 생산 기구에서 발생된 입자를 포토마스크의 활성 표면상에 축적하지 못하게 할 것이다.
경감 해결책중 하나는 포토마스크 활성 표면을 주기적으로 검사하는 것으로 구성된다. 제 1 결정이 나타나자 마자, 포토마스크는 그 제조업자에게 반환된다. 박막은 제거 및 클리닝되며, 다음에 새로운 박막이 포토마스크에 도포된다. 이러한 작업은 사용자에 의해서가 아니라 포토마스크 제조업자에 의해 실행되어야 하는데, 그 이유는 포토마스크의 짧은 수명으로 인해서 재고품을 관리하기 위한 주요 추가 비용과 시간 낭비를 야기시키기 때문이다.
미국 특허 공개 제 US 2001/005944 호
따라서, 오늘날 반도체 부품 제조업자에게 있어서 가장 큰 문제점은 반도체를 생산하기 위한 이들 포토마스크의 유용성 및 수명이다. 이것은, 이들 포토마스크가 실리콘 칩을 제조하기 위한 사슬에서 주요 연결고리(link)이고, 포토마스크의 증가된 복잡화가 보다 높은 비용으로 유도하기 때문이다.
따라서, 본 발명의 목적은 클리닝 작업의 빈도수를 감소시킴으로써 포토마스크의 수명을 보다 길게 하는 것이다.
본 발명의 다른 목적은 박막 아래의 용적에서 결정의 형성에 의한 오염에 관련된 포토마스크 열화의 위험을 감소시키는 것이다.
본 발명의 또다른 목적은 포토마스크의 제조로부터 야기되는 잔류 암모니아 및 황산염 화합물의 제거를 가능하게 하는 방법에 관한 것이다.
본 발명의 목적은 포토마스크를 클리닝하는 적어도 하나의 단계와 포토마스크상에 보호 박막을 위치시키는 적어도 하나의 단계를 포함하는 포토마스크 제조 방법이다. 또한, 방법은 클리닝 단계와 박막 위치 단계 사이에서 암모니아 및 황산염 잔류물을 제거하는 적어도 하나의 단계를 포함한다. 암모니아 및 황산염 잔류물을 제거하는 단계는,
- 상기 포토마스크를 밀봉된 챔버내에 위치시키는 단계와,
- 상기 밀봉된 챔버내에 수용되어 있는 가스를 펌핑제거함으로써 상기 밀봉된 챔버내에 저압을 생성하는 단계와,
- 상기 포토마스크를 적외선에 노출시키는 단계와,
- 적외선 노출을 중단시키는 단계와,
- 상기 포토마스크의 온도가 50℃를 초과하지 않도록 체크하는 단계와,
- 상기 챔버내에 대기압을 복귀시키는 단계와,
- 상기 챔버로부터 상기 포토마스크를 제거하는 단계를 포함한다.
바람직하게, 가스는 20분과 5시간 사이의 시간 주기 동안 펌핑된다.
적외선(IR)은 타겟 화학물질의 선택 흡수를 가속시키며, 그 성능을 개선한다. 적외선과 동시에 실행되는, 내부에 진공을 형성하도록 챔버내에 포함된 가스를 펌핑시키는 것은 잔류물의 흡수를 상당히 개선하고, 특히 클리닝 및 스트리핑 단계로부터 야기되는 암모니아 및 황산염 성분의 거의 모두를 흡수하는 것이 가능하게 한다. 암모니아 및 황산염을 제거하는 것은 완벽하게 클린의 기판상에 보호 박막을 도포할 수 있게 한다.
적외선의 파장은 흡수에 영향을 미치는 메인 파라메터이며, 그 깊이는 선택된 파장에 따라 좌우된다. 그 파장이 "짧은(short)"이라고 하는 적외선의 파는 표면상에 보다 효과적인 "중간(medium)" 또는 "긴(long)" 파장보다 깊게 재료내로 침투할 것이다.
적외선은 포토마스크의 온도가 300℃를 초과하여 가열되지 않도록 조심스럽게 제어되어야 한다. 300℃ 이상에서, 포토마스크는 되돌릴 수 없게 손상된다. 온도는 50℃와 300℃ 사이, 바람직하게 50℃와 150℃ 사이, 보다 바람직하게 80℃에 가까운 온도이다. 적외선을 도포함으로써 야기되는 온도의 증가는 방산 흡수의 현상의 가속에 기여한다.
바람직하게, 대기압은 챔버 내측의 온도가 50℃ 미만 또는 50℃일 때 복귀되며, 적외선이 정지된 후에 대기 주기를 필요로 한다.
일 특정 변형예에서, 클린 가스는 가스가 챔버에 펌핑되는 동시에 일정한 유속으로 주입된다. 이러한 가스의 존재는 특정 다른 유기 성분의 흡수를 가속시킬 수 있다.
바람직하게, 챔버 내측의 압력은 공기 또는 질소 또는 아르곤과 같은 천연 가스와 같은 클린의 비반응성 가스를 주입함으로써 정상으로 리턴된다.
성능을 더욱 증가시키기 위해서, 암모니아 및 황산염 잔류물 제거 방법은 최종 클리닝 단계 이후 뿐만 아니라 스트리핑 단계와 같은 황산염 잔류물의 사용을 포함하는 클리닝 이전의 다른 제조 단계 이후에 사용될 수도 있다.
본 발명의 다른 목적은 상술한 방법을 실행하기 위한 장치로서,
- 적어도 하나의 포토마스크를 포함하는 밀봉된 챔버와,
- 상기 챔버내에 진공을 생성 및 유지하는 펌핑 유닛과,
- 상기 밀봉된 챔버 내측에 위치된 적어도 하나의 포토마스크를 보지하는 시스템과,
- 적외선 수단과,
- 가스 주입 수단을 포함한다.
바람직하게, 보지 시스템은 다중 포토마스크의 동시 처리를 가능하도록 설계될 수 있다.
장치의 일 변형 실시예에서, 챔버의 내부 벽은 방출된 파를 반사한다.
다른 변형 실시예에서, 가스 주입 시스템은 하나 또는 그 이상의 샤워-스타일 인젝터를 포함한다.
다른 변형 실시예에서, 가스 주입 시스템은 하나 또는 그 이상의 박막 필터를 포함한다.
또한, 장치는 챔버 내측의 압력을 체크하기 위한 압력 게이지를 포함한다.
또한, 장치는 포토마스크의 온도를 측정하기 위한 온도 프로브를 포함할 수 있다.
본 발명의 다른 특징 및 장점은 비제한적인 예로서 주어진 실시예의 하기 설명 및 첨부 도면에 잘 기재되어 있다.
도 1은 본 발명의 방법의 일 실시예의 단계들을 개략적으로 도시한 도면,
도 2는 포토마스크에 대해 적외선 수단을 위치 설정하는 예시적인 방법을 개략적으로 도시한 도면,
도 3은 암모니아 및 황산염 잔류물 제거 단계를 실행하도록 구성된 장치를 도시하는 도면,
도 4는 암모니아 및 황산염 잔류물 제거 단계를 실행하도록 구성된 장치의 변형예를 도시하는 도면,
도 5는 제조 프로세스의 종료시에 포토마스크내의 잔류 황산염의 비율을 비교한 도면.
본 발명의 포토마스크 제조 방법의 일 실시예가 도 1에 개략적으로 도시되어 있다. 통상적으로, 포토마스크의 제조는 다수의 단계를 포함한다. 일 예로서 크롬(2)으로 커버된 석영(1)으로 제조된 기판이 수지(3)의 층으로 커버되며, 예를 들면 레이저 또는 전자 비임에 의해서 수지(3)의 층상에 에칭될 패턴이 생성된다.(단계 A). 단계 B는 에칭 단계이며, 이러한 에칭 단계 동안에 크롬 층(2) 내로 패턴이 에칭된다. 단계 C 동안에, 포토마스크는 에칭되자마자 수지(3) 및 화학 반응으로부터의 부산물을 제거하기 위해서 습윤-스트립된다. 다음에, 단계 D 내지 F 동안에, 결과적인 포토마스크가 다수의 잇따른 클리닝 단계(단계 E), 제어 단계(단계 D 및 F) 및 잠재적인 수리 단계(단계 G)를 받는다. 단계 H 동안에는 최종 클리닝이 실행된다. 통상 사용되는 클리닝 조건은 황산염을 사용하는 것을 포함하는데, 이 황산염은 포토마스크가 보호 박막(pellicle)(4)에 의해 커버되는 단계 I 전에 제거되어야 한다. 이것은, 상술한 이유 때문에, 박막(4) 아래에 있는 포토마스크의 활성 영역(5)내의 황산염의 존재가 완전히 회피되어야 하기 때문이다.
적외선 및 진공 펌핑의 조합을 실행하는, 암모니아 및 황산염 잔류물을 제거하는 단계 J가, 포토마스크로부터의 오염물, 특히 황산염 오염물을 제거하기 위해서, 클리닝 단계 H와 박막 배치 단계 I 사이에 개재되어 있다. 이 단계 J는 3개의 별개의 위상을 형성하는 다중 작업을 포함한다.
제 1 위상 동안에는, 포토마스크가 챔버내에 있는 상태에서, 챔버 내측에 존재하는 가스가 펌핑제거된다. 이러한 부분 동안에, 제어 파라미터는 펌핑 속도이다. 물이 결정화되지 못하도록 압력 강하의 기울기가 조정된다. 동시에, 파장 제어 시스템의 사전조정이 가능하도록 적외선 수단이 기동된다. 포토마스크는 펌핑이 계속되는 동안에 오염물질 가스제거의 촉진이 가능하도록 적외선을 받는다.
제 2 위상은 일정한 온도 및 압력에서 이뤄진다. 온도, 압력 및 IR 파장을 포함한 3개의 파라미터는 상호의존적이다. 암모니아 및 황산염 잔류물의 탈착이 가능하도록 적외선의 파장이 조정된다. 압력은 탈착 한계점을 조절하는 것을 가능하게 하며, 온도는 파장의 조정이 가능하도록 제어된다.
적외선이 오프된 상태에서, 챔버가 약 50℃보다 낮거나 동일한 온도에 도달되자마자, 챔버 내측의 압력이 증가되면서 제 3 위상이 개시된다. 챔버내의 낮은 압력은 온도를 감소시키는데 기여한다. 이 위상의 제어 파라메터는 온도이다. 또한, 냉각을 제어하기 위해서 챔버 내측의 압력 제어가 이용될 수도 있다. 압력의 증가는 클린의 비반응성 가스를 이용하여 성취된다. 사이클의 종료시에, 클린 가스의 부여된 압력이 단시간(a short stretch of time)에 걸쳐서 대기압보다 약간 높아서, 포토마스크의 표면상에 클린 가스의 흡착을 조장하고, 이것은 포토마스크가 챔버로부터 제거되자마자 포토마스크를 외부 오염물로부터 보호하는데 도움을 준다. 온도가 감소될 때 발생될 수 있는 것으로 대기에서 발견되는 가스의 재흡착을 방지하기 위해서, 챔버가 주위 온도에 가까운 온도로 되도록, 포토마스크는 50℃보다 높지 않은 온도로 냉각된다.
다른 실시예에 있어서, 암모니아 및 황산염 잔류물을 제거하는 단계 J는 클리닝 단계 H 이전에, 특히 황산염 잔류물의 지속을 포함한 특정 단계 이후에 이뤄질 수도 있다. 또한, 예를 들면 스트리핑 단계 C와 제어 단계 D 사이에 제거 단계 J'가 개재될 수도 있다.
도 2는, 방사 수단(21)에 의해 발생된 적외선(20)이 밀봉된 챔버(24)의 반사 내부 벽(23) 및 포토마스크(22)의 양자상으로 반사되는 방법을 일 예를 도시하는 도면이다. 예를 들면 포토마스크 위에 및/또는 포토마스크 아래에, 또는 포토마스크의 2개 층 사이에 가열 소자가 위치될 수도 있다.
제거할 화학약품과 관련된 선택성과 진공에서의 성능이 우수하기 때문에, 적외선을 사용하는 것이 바람직하다. 파장 등과 같은 적외선(20)의 특성을 광범위하게 선택함으로써, 탈착의 깊이가 변할 수도 있다. 파장이 "짧다"고 말하여지는 적외선의 파(wave)는, 표면상에서 보다 효율적인 "중간" 또는 "긴" 파장보다 깊게 재료내로 투과될 것이다.
300℃ 보다 낮은 온도, 일 예로서 80℃에 가까운 온도가 포토마스크를 손상시킴이 없이 가해질 수 있다. 바람직하게, 해싱 기반(hashing-based) 적외선 방출 제어, 즉 전압(V) 및 제로 전압을 연속적으로 가해서 적외선 동력 피크를 달성할 수 있게 하는 것이 사용된다. 이러한 제어는 적외선의 특성(파장)을 손상시킴이 없이 포토마스크의 가열을 제어할 수 있게 한다. 또한, 이러한 제어는 적외선의 파장을 변경시킬 수 있게 한다. 해싱 기반 적외선 방출 제어를 연관시키고 그리고 적외선의 파장을 변경시킴으로써, 이에 의해 재료내의 다중 깊이에서 포토마스크의 탈착을 야기할 수 있게 된다.
포토마스크에 적외선 에너지를 가하기 위해서, 다른 방법은 금속 바아에 커플링되고 적외선 파를 방사하게 될 마이크로파 발생기를 사용하는 것이다.
도 3에 도시된 본 발명의 실시예에 있어서는, 포토마스크(31)(아직 박막을 갖지 않음)가 펌핑 유닛(33)에 의해 진공으로 유지되는 밀봉된 챔버(32) 내측에 위치되어 있다. 압력 게이지(34)는 챔버(32) 내측의 압력을 체크할 수 있게 한다. 포토마스크는 중첩 랙(35)상에 위치되며, 포토마스크는 비금속성 스페이서(36)에 의해 지지된다. 이러한 상황에서, 포토마스크는, 상술한 마이크로파 디바이스와 같은, 챔버(32)의 벽상에 배치된 디바이스(37)에 의해 적외선을 받는다. 디바이스(37)는 관련 온도 프로브(39)에 의해 측정되는 바와 같이 포토마스크(31)의 온도에 의거한 방사 제어 루프(38)에 의해 작동된다. 방사 소자(37)의 기하학적 구조 및 배치는 포토마스크(31)의 전체 표면에 걸쳐서 균일하고 최적화된 작동을 이루도록 선택된다.
바람직하게, 포토마스크(31)상으로의 적외선 방사의 반사를 조장하기 위해서 챔버(32)의 표면이 기계적으로 또는 전해적으로 연마될 수도 있다. 또한, 챔버(32)의 형상은 적외선 방사를 균일하게 분포시킬 수 있게 한다.
설치에 적용되는 주요 제한요인중 하나는, 방법의 실행이 입자를 발생시킬 수도 있다는 것이다. 이것은, 가스 주입 시스템이 진공 챔버(32)로의 주입 속도를 감소시킬 수 있게 하는 적어도 하나의 샤워 스타일 인젝터(41)를 포함하고 있기 때문이다. 주입 시스템(40)은 입자 필터(42)를 추가로 구비한다. 바람직하게, 주입 시스템(40)은 챔버(32)가 대기압으로 복귀될 때의 가스 난류를 방지하는 하나 또는 그 이상의 샤워 스타일 가스 인젝터(41)를 포함한다. 챔버를 대기압으로 복귀시키는 단계는 y=ax2+b의 형태를 갖는 수학 방정식에 따라 이뤄질 수 있으며, 상기 수학식에서 y는 유속이며, x는 압력이다. 이러한 절차는, 입자 오염이 저압에서 보다 높기 때문에, 저압에서 낮은 주입 속도를 가능하게 한다.
암모니아 및 황산염 잔류물 제거 단계 동안에, 가스제거 측정 수단(43)을 이용하여, 하기의 매개변수중 적어도 하나를 추적함으로써 작동이 적절하게 일어날 수 있게 한다.
- 가스의 부분 압력
- 펌핑 유닛(33)의 한계 압력
- 포토마스크(31)의 중량
- 챔버의 벽(32)에 의해 반사된 동력
펌핑 유닛(33), 주입 시스템(40) 및 가스제거 측정 수단(43)은 프로그램화가능한 논리 제어기(44) 또는 PLC에 연결되어 있다.
이제, 암모니아 및 황산염 잔류물을 제거하는 단계를 실행하도록 구성된 포토마스크(31)의 설치의 다른 실시예를 도시하는 도 4를 참조하면, 방사 디바이스(47)는 진공 챔버(48) 외측에 위치되어 있다. 챔버(48)의 벽 내측에 위치된 윈도우와 같은 인터페이스(49)는 포토마스크(31)의 방향으로 파를 통과시킨다. 방사 디바이스(47)와 포토마스크(31) 사이의 인터페이스(49)를 구성하는 재료의 선택은 중요하다. 왜냐하면, 그 재료는 파가 전송하는 방사를 소산시키는 것에 의한 문제를 야기함이 없이 포토마스크(31)용으로 의도된 파가 통과하는 것을 가능하게 해야 하기 때문이다. 바람직하게 석영이 선택될 수 있다.
도 5는 이온 크로마토그래피 프로세스를 이용하여 측정된, 포토마스크내의 잔류 황산염의 비율을 측정한 비교 결과를 제공한 것이다. 클리닝 방법의 3개의 상이한 변형예(Ⅰ, Ⅱ, Ⅲ)를 이용하는 포토마스크 클리닝 동작으로부터 황산염(50a, 51a, 52a)의 비율이 얻어진다. 황산염(50b, 51b, 52b)의 비율은 3개의 변형예의 각각에 대하여 클리닝 단계에 이어지는 본 발명의 일 실시예에 따른 제거 단계의 완료시에 성취된다. 이들 결과를 비교하면 포토마스크의 황산염 함유량에 대한 제거 단계의 효율을 알 수 있다. 193nm 기술에 대한 포토마스크 제조업자들의 현재 목표는 그들의 클라이언트중에 결정 성장 문제를 겪는 사람이 없도록 1ppbv(parts per billion by volume)보다 작은 황산염 비율을 성취하는 것이다. 도 5는 본 발명을 이용하여 성취된 값(50b, 51b, 52b)이 대체로 이 목표의 아래에 있는 것을 나타낸다.

Claims (3)

  1. 포토마스크를 클리닝하는 적어도 하나의 단계와, 상기 포토마스크상에 보호 박막을 위치시키는 적어도 하나의 단계를 포함하며, 상기 클리닝 단계와 상기 박막 위치 단계 사이에 암모니아 및 황산염 잔류물을 제거하는 적어도 하나의 단계를 더 포함하는, 포토마스크를 제조하는 방법으로서,
    상기 암모니아 및 황산염 잔류물을 제거하는 상기 단계는:
    - 상기 포토마스크를 밀봉된 챔버내에 위치시키는 단계와,
    - 상기 밀봉된 챔버 내에 수용되어 있는 가스를 펌핑제거함으로써 상기 밀봉된 챔버내에 저압을 생성하는 단계와,
    - 해싱 기반의 적외선 방출 제어를 하면서, 상기 포토마스크를 적외선에 노출시키는 단계로서, 상기 포토마스크는 50℃와 300℃ 사이의 온도로 가열되는, 상기 단계와,
    - 적외선 노출을 중단시키는 단계와,
    - 상기 포토마스크의 온도가 50℃를 초과하지 않는지를 체크하는 단계와,
    - 상기 챔버내에 대기압을 복귀시키는 단계와,
    - 상기 챔버로부터 상기 포토마스크를 제거하는 단계를 포함하는,
    포토마스크 제조 방법을 실행하기 위한 장치에 있어서,
    - 적어도 하나의 포토마스크를 수용하는 밀봉된 챔버와,
    - 상기 챔버내에 진공을 생성 및 유지하는 펌핑 유닛과,
    - 상기 밀봉된 챔버 내측에 위치된 적어도 하나의 포토마스크를 보지하는 시스템과,
    - 상기 포토마스크를 50℃와 300℃ 사이의 온도로 가열할 수 있는 해싱 기반의 적외선 방출 제어 수단을 갖는 적외선 수단과,
    - 상기 적외선 방사가 멈춘 후, 상기 챔버 내부가 대기압으로 복귀되기 전에, 상기 포토마스크를 50℃ 이하의 온도로 냉각하기 위한 수단과,
    - 상기 챔버내에 대기압을 복귀시키기 위한, 적어도 하나의 비반응성 가스 인젝터를 포함하는 가스 주입 시스템을 포함하는
    포토마스크 제조 방법의 실행 장치.
  2. 제 1 항에 있어서,
    상기 챔버의 내부 벽은 방사파(emitted wave)를 반사시키는
    포토마스크 제조 방법의 실행 장치.
  3. 제 1 항에 있어서,
    상기 가스 주입 시스템이 적어도 하나의 샤워 스타일 인젝터와 적어도 하나의 입자 필터를 포함하는
    포토마스크 제조 방법의 실행 장치.
KR1020127034224A 2008-03-05 2008-12-29 포토마스크 제조 방법의 실행 장치 KR101253948B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
FR0851427 2008-03-05
FR0851427 2008-03-05
PCT/FR2008/052425 WO2009112655A1 (fr) 2008-03-05 2008-12-29 Procede de fabrication de photomasques et dispositif pour sa mise en œuvre

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020107017316A Division KR101253825B1 (ko) 2008-03-05 2008-12-29 포토마스크 제조 방법

Publications (2)

Publication Number Publication Date
KR20130016404A KR20130016404A (ko) 2013-02-14
KR101253948B1 true KR101253948B1 (ko) 2013-04-16

Family

ID=40886698

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020107017316A KR101253825B1 (ko) 2008-03-05 2008-12-29 포토마스크 제조 방법
KR1020127034224A KR101253948B1 (ko) 2008-03-05 2008-12-29 포토마스크 제조 방법의 실행 장치

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020107017316A KR101253825B1 (ko) 2008-03-05 2008-12-29 포토마스크 제조 방법

Country Status (4)

Country Link
JP (1) JP5372966B2 (ko)
KR (2) KR101253825B1 (ko)
CN (1) CN101925860B (ko)
WO (1) WO2009112655A1 (ko)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR2962198B1 (fr) * 2010-06-30 2014-04-11 Alcatel Lucent Dispositif de sechage d'un photomasque
DE102019110706A1 (de) * 2018-09-28 2020-04-02 Taiwan Semiconductor Manufacturing Co., Ltd. Verfahren zum herstellen von euv-fotomasken

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040028269A1 (en) * 2000-12-27 2004-02-12 Osamu Yamabe Photomask unit, photomask device, projection exposure device, projection exposure method and semiconductor device
KR20060117874A (ko) * 2003-10-30 2006-11-17 호야 가부시키가이샤 포토마스크 및 영상 디바이스의 제조방법

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5355474A (en) * 1976-10-29 1978-05-19 Kyoritsu Kogyo Method of treating ammonium peroxysulfate waste liquid
JP3266156B2 (ja) * 1990-09-19 2002-03-18 株式会社ニコン 照明用光源装置および露光装置
JPH0521411A (ja) * 1991-07-12 1993-01-29 Fujitsu Ltd 表面処理方法及び表面処理装置
US6279249B1 (en) * 1999-12-30 2001-08-28 Intel Corporation Reduced particle contamination manufacturing and packaging for reticles
EP1297566A2 (en) * 2000-06-14 2003-04-02 Applied Materials, Inc. Substrate cleaning apparatus and method
KR100563102B1 (ko) * 2002-09-12 2006-03-27 에이에스엠엘 네델란즈 비.브이. 표면들로부터 입자들을 제거함으로써 세정하는 방법,세정장치 및 리소그래피투영장치
JP4564742B2 (ja) * 2003-12-03 2010-10-20 キヤノン株式会社 露光装置及びデバイス製造方法
JP2005274770A (ja) * 2004-03-23 2005-10-06 Sony Corp マスク保管容器およびマスク処理方法
JP4475510B2 (ja) * 2004-06-25 2010-06-09 Hoya株式会社 リソグラフィーマスクの製造方法、リソグラフィーマスク、及びリソグラフィーマスクの露光方法
WO2006101315A1 (en) * 2005-03-21 2006-09-28 Pkl Co., Ltd. Device and method for cleaning photomask
US20060243300A1 (en) * 2005-04-27 2006-11-02 Patrick Klingbeil Method for cleaning lithographic apparatus
TW200729292A (en) * 2005-12-22 2007-08-01 Qimonda Ag Apparatus for preserving and using at least one photolithograph projection photo mask and the method using the same in the exposure apparatus
EP1832353A3 (fr) * 2006-03-08 2009-05-06 St Microelectronics S.A. Nettoyage de masques de photolithographie
US7993464B2 (en) * 2007-08-09 2011-08-09 Rave, Llc Apparatus and method for indirect surface cleaning

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040028269A1 (en) * 2000-12-27 2004-02-12 Osamu Yamabe Photomask unit, photomask device, projection exposure device, projection exposure method and semiconductor device
KR20060117874A (ko) * 2003-10-30 2006-11-17 호야 가부시키가이샤 포토마스크 및 영상 디바이스의 제조방법

Also Published As

Publication number Publication date
JP2011513783A (ja) 2011-04-28
KR20100101003A (ko) 2010-09-15
KR20130016404A (ko) 2013-02-14
KR101253825B1 (ko) 2013-04-12
CN101925860B (zh) 2012-12-12
WO2009112655A1 (fr) 2009-09-17
JP5372966B2 (ja) 2013-12-18
CN101925860A (zh) 2010-12-22

Similar Documents

Publication Publication Date Title
US11740563B2 (en) Mask cleaning
US8986460B2 (en) Apparatus and method for indirect surface cleaning
US20060207629A1 (en) Method and apparatus for an in-situ ultraviolet cleaning tool
JP5471514B2 (ja) 光処理装置
US20090258159A1 (en) Novel treatment for mask surface chemical reduction
US9335279B2 (en) Pre and post cleaning of mask, wafer, optical surfaces for prevention of contamination prior to and after inspection
KR20130131348A (ko) 통합형 기판 세정 시스템 및 방법
WO2008097462A1 (en) Plenum reactor system
KR101253948B1 (ko) 포토마스크 제조 방법의 실행 장치
EP1353224A1 (en) Cleaning of a pellicle-mask assembly
JP2014007260A (ja) インプリント装置、収納ケース及び物品の製造方法
TWI435166B (zh) 製造光罩之方法以及用於其實施之裝置
KR100717505B1 (ko) 노광장치의 투영 렌즈 세정장치
KR20080001469A (ko) 포토 마스크의 펠리클 재작업 방법
KR20080101090A (ko) 포토마스크의 세정방법
JP2005191166A (ja) 投影露光装置及び投影露光方法
JP2006088128A (ja) 洗浄方法、洗浄装置、露光装置、及びデバイス製造方法
KR20060073154A (ko) 반도체 제조용 노광 장치 및 방법
JP2004241629A (ja) 液晶表示体用反射基板および水晶デバイスの製造方法

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20160330

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20170328

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20180328

Year of fee payment: 6