TW201705247A - 積體電路的製造方法及半導體加工反應器系統 - Google Patents

積體電路的製造方法及半導體加工反應器系統 Download PDF

Info

Publication number
TW201705247A
TW201705247A TW105117329A TW105117329A TW201705247A TW 201705247 A TW201705247 A TW 201705247A TW 105117329 A TW105117329 A TW 105117329A TW 105117329 A TW105117329 A TW 105117329A TW 201705247 A TW201705247 A TW 201705247A
Authority
TW
Taiwan
Prior art keywords
precursor
semiconductor
substrate
dielectric
integrated circuit
Prior art date
Application number
TW105117329A
Other languages
English (en)
Other versions
TWI744233B (zh
Inventor
謝琦
湯福
麥克 吉文斯
佩托 拉薩內尼
強 威廉 梅斯
蔣曉強
Original Assignee
Asm Ip控股公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US14/729,510 external-priority patent/US9711350B2/en
Application filed by Asm Ip控股公司 filed Critical Asm Ip控股公司
Publication of TW201705247A publication Critical patent/TW201705247A/zh
Application granted granted Critical
Publication of TWI744233B publication Critical patent/TWI744233B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3157Partial encapsulation or coating
    • H01L23/3171Partial encapsulation or coating the coating being directly applied to the semiconductor body, e.g. passivation layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76297Dielectric isolation using EPIC techniques, i.e. epitaxial passivated integrated circuit
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0209Pretreatment of the material to be coated by heating
    • C23C16/0218Pretreatment of the material to be coated by heating in a reactive atmosphere
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/403Oxides of aluminium, magnesium or beryllium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02181Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing hafnium, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02192Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing at least one rare earth metal element, e.g. oxides of lanthanides, scandium or yttrium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02304Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment formation of intermediate layers, e.g. buffer layers, layers to improve adhesion, lattice match or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/0445Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising crystalline silicon carbide
    • H01L21/045Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising crystalline silicon carbide passivating silicon carbide surfaces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28247Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon passivation or protection of the electrode, e.g. using re-oxidation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28255Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor belonging to Group IV and not being elemental silicon, e.g. Ge, SiGe, SiGeC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/511Insulating materials associated therewith with a compositional variation, e.g. multilayer structures
    • H01L29/513Insulating materials associated therewith with a compositional variation, e.g. multilayer structures the variation being perpendicular to the channel plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/518Insulating materials associated therewith the insulating material containing nitrogen, e.g. nitride, oxynitride, nitrogen-doped material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • H01L29/1054Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure with a variation of the composition, e.g. channel with strained layer for increasing the mobility

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Formation Of Insulating Films (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

在一些實施例中,可利用氮化,較佳使用肼、肼衍生物或其組合有效地保護半導體表面。表面可為電晶體通道區域之半導體表面。在一些實施例中,自半導體表面移除原生氧化物且接著將表面氮化。在一些其他實施例中,在半導體表面形成半導體表面氧化物層且藉由在表面形成半導體氮氧化物層來實現保護,其中氮化將氮貢獻給表面氧化物以形成氮氧化物層。可藉由原子層沈積(atomic layer deposition;ALD)來沈積半導體氧化物層且氮化亦可作為ALD之一部分來進行。

Description

氧化物移除後利用氮化的半導體保護方法
本發明大體上是關於半導體加工且更特定言之,是關於半導體基板之保護,包含電晶體之通道區域之保護。
呈現高電荷載流子遷移率之半導體材料作為可能用於多種電子裝置(諸如積體電路中之電晶體)中之材料而獲得關注。高電荷載流子遷移率材料具有比矽更高的電荷載流子遷移率,且與使用矽作為唯一半導體來形成裝置相比可改良此等電子裝置之效能。高電荷載流子遷移率半導體材料之實例包含矽鍺、鍺以及第III-V族半導體材料,諸如GaAs、InP、InGaAs、InAs及GaSb。
半導體材料之保護可改良由此等材料形成之裝置之電特性,且亦可改良此等材料之物理性質及物理穩定性,其可提供裝置可靠性之優勢。舉例而言,在閘極介電質形成之前,保護電晶體通道區域之表面可改良所得電晶體之效能。然而,高遷移率半導體之保護面臨多種挑戰且持續著力於對研發用於此等材料之適合的保護技術。
在一些實施例中,提供積體電路的製造方法。所述方法包括自半導體基板之表面移除原生氧化物;且接著藉由使基板暴露於肼及肼衍生物中之至少一者來保護表面。
在一些其他實施例中,提供積體電路的製造方法。所述方法包括自半導體基板之電晶體通道區域之表面移除原生氧化物;及藉由使表面暴露於氮前驅體來氮化所述表面。
在其他實施例中,提供半導體加工反應器系統。反應器系統包括預處理室及用於容納基板之氮化室。預處理反應物之來源可與預處理室進行氣體連通,且氮前驅體之來源可與氮化室進行氣體連通。反應器系統亦包括經組態以控制以下各者之控制器:預處理反應物進入預處理室之時間及流動速率;及氮前驅體進入氮化室之時間及流動速率。此外,所述控制器經程式化以實施:使基板暴露於預處理室中之預處理反應物;及使基板暴露於氮化室中之氮前驅體。
已提出多種用於保護半導體之方法,包含具有高電荷載流子遷移率之半導體(亦稱為高遷移率半導體),但已發現此等方法具有顯著缺陷。使用沈積的矽來保護通道區域表面是一種已經研發的用於p摻雜之鍺類MOSFET的方法。然而,此方法可能具有高成本及低產量,因為其依賴於低溫磊晶沈積製程,且亦可具有不合需要的倒置厚度及保形性問題,尤其在FinFET/垂直奈米線裝置中。此外,在不受理論限制的情況下,由於鍺導帶邊緣之高界面狀態密度(Dit)以及不具有相對於鍺之對於矽之電子限制,不認為使用矽進行的保護適用於n摻雜之鍺電晶體裝置。
已提出GeO2 之原子層沈積(ALD)為另一種用於形成鍺電晶體裝置之保護層的方法,且藉由提供p摻雜及n摻雜之鍺裝置之低Dit而亦可適用於CMOS裝置。然而,GeO2 之物理不穩定性可能與用於製造電晶體之製程流程不相容。此外,GeO2 呈現不合需要的高氧化物捕捉密度且可引起裝置可靠性問題。
根據一些實施例,可藉由氮化來有效地保護半導體表面,較佳使用肼、肼衍生物或其組合。所保護之表面可為電晶體通道區域之表面。表面較佳在氮化之前經歷氧化物移除(例如移除原生氧化物)。此外,氮化較佳在使基板經歷任何其他處理或沈積製程之前進行。
在一些實施例中,可在受保護之半導體表面上方形成介電閘極堆疊且較佳與受保護之半導體表面接觸。閘極堆疊可包含界面層或受保護表面與更厚的介電層之間的夾層。作為實例,界面層可由金屬氧化物(諸如氧化鑭矽)形成,且介電層可由高k介電材料(諸如氧化鉿)形成。
在一些其他實施例中,藉由在表面上形成半導體氮氧化物層來實現保護,其中使用肼、肼衍生物或其組合藉由氮化來形成氮氧化物層。使用此類氮氧化物層之保護可有利地應用於例如包括鍺之表面,或具有高鍺含量(例如大於50%,或大於75%鍺)之矽鍺。
在一些實施例中,半導體之表面具有含有半導體氧化物之薄膜或層(例如表面氧化物),其可經氮化以形成氮氧化物化合物。舉例而言,含有半導體氧化物之薄膜可藉由使半導體表面氧化來形成,所述氧化是藉由在半導體表面上沈積含有半導體氧化物之薄膜且與所述半導體表面接觸或其組合而實現。較佳藉由原子層沈積(ALD)來沈積含有半導體氧化物之薄膜。如本文中所使用,含有半導體氧化物之薄膜為包括半導體之氧化物的薄膜或層。
在一些其他實施例中,在高遷移率半導體上沈積半導體氮氧化物化合物。舉例而言,可使用半導體前驅體、氧前驅體及氮前驅體之在時間上隔開之脈衝,藉由ALD沈積半導體氮氧化物。
在一些實施例中,如上所述,可在受保護之半導體表面上形成閘極堆疊。在其中在表面上形成半導體氮氧化物之實施例中,可例如在半導體基板與介電層(例如閘極介電層)之間安置夾層。在一些實施例中,半導體氮氧化物層形成閘極堆疊之一部分。
有利的是,使用肼或其衍生物藉由氮化進行之保護可提供多種優勢。在一些實施例中,此類氮化可特別提供低氧化物捕捉密度含量(oxide trap density level)。在一些實施例中,使用此類氮化(例如鍺基板之氮化)形成含氮層可提供低氧化物捕捉密度及低Dit。含氮層為高度穩定的,其可有利地提供良好的裝置可靠性。
現將參考圖式。相同編號在全文意指相同特徵。
圖1為流程圖,其大體上說明根據一些實施例之用於半導體保護之製程100。在區塊110處,提供所暴露之半導體基板表面。所暴露之半導體表面可為半導體基板之一部分。如本文中所使用,應瞭解,半導體基板為至少部分由半導體材料形成之基板。舉例而言,在一些實施例中,半導體基板可為半導體晶圓,或可為具有上覆導電(例如半導體)及/或介電材料之半導體晶圓。
所暴露之半導體基板表面較佳包括高遷移率半導體。在一些實施例中,所暴露之表面處之高遷移率半導體包括鍺、矽鍺(例如具有95%或95%以下,或更佳75%或75%以下之鍺含量)、第III族-第V族半導體、第II族-第VI族半導體或2D材料(諸如MoS及石墨烯)。在一些實施例中,半導體包括InGaAs。其他適合的基板表面包含GaAs、InP、InAs以及GaSb。在一些實施例中基板可為300 mm或450 mm晶圓。在一些實施例中,基板表面包括多種材料,包含多種半導體材料。在一些其他實施例中,待保護之半導體基板表面可為矽表面,而無其他半導體。
所暴露之表面可包含電晶體之通道區域之表面。電晶體可為例如平面電晶體,或可為非平面電晶體,包含FinFET裝置或垂直奈米線/環繞式閘極(gate-all-around;GAA)裝置。在一些實施例中,電晶體可實施為互補金屬氧化物半導體(CMOS)型積體電路之一部分。此等CMOS積體電路利用具有不同摻雜類型之電晶體。舉例而言,可以配對方式形成電晶體,其中各配對中之一個成員具有經N摻雜之通道區域且配對中之另一個成員具有經P摻雜之通道區域。應瞭解,所暴露之表面可水平、垂直或以一種角度延伸。
繼續參考圖1,在區塊110處提供之所暴露之半導體基板表面較佳實質上不含氧。在一些實施例中,在區塊110處,藉由使基板經歷原生氧化物移除製程以移除基板表面之原生氧化物來形成實質上不含氧之基板表面。原生氧化物移除可藉由例如使基板表面暴露於預處理反應物(諸如(NH4 )2 S、H2 S、HCl、HBr、Cl2 、HF或其組合)來實現。此類原生氧化物移除製程亦可稱為預清潔製程。
在一些實施例中,預處理可包含使基板暴露於液相蝕刻劑,接著使基板暴露於氣相蝕刻劑。舉例而言,預處理可包含濕式蝕刻步驟(例如使用酸,諸如HCl或HF)以移除原生氧化物;接著,可使用氣相蝕刻劑(例如HF、HCl或環狀HCl/H2 O)以移除殘餘物/由在濕式蝕刻之後使基板暴露於空氣而引起之氧化物再生。在一些實施例中,濕式蝕刻可在分離室中由氣相蝕刻進行,且氣相蝕刻可在相同反應室中以氮化形式進行,其可有利地降低氮化之前任何氧化物再生之影響。在一些其他實施例中,在單獨的預清潔室中由其中氮化基板之氮化室進行氣相蝕刻。
繼續參考圖1,在區塊115處,藉由氮化來保護所暴露之半導體基板表面。在一些實施例中,半導體表面暴露於氮前驅體,其與表面反應以在表面處形成含氮膜。氮前驅體較佳為肼(N2 H4 )、肼衍生物或變異體或其組合。肼變異體或衍生物之實例包含二甲基肼(Me2 NNH2 )、第三丁基肼(t-BuNHNH2 )、雙(第三丁基肼基)二乙基矽烷以及雙(N,N-二甲基肼基)二乙基矽烷。氮前驅體暴露較佳進行約10秒至約5分鐘,更佳約20秒至約2分鐘範圍內之持續時間。在氮前驅體暴露期間的製程溫度,例如基板之溫度較佳在約50℃至約500℃,更佳約100℃至約400℃(包含約150℃至約400℃,及約200℃至約300℃)範圍內。氮前驅體暴露可藉由使氮前驅體流入處理室或反應室(其中載有基板)來實現。
在一些實施例中,在藉由氮化保護半導體表面之後,可在受保護之表面上沈積一或多個介電層且與所述受保護之表面接觸。舉例而言,可在受保護之表面上直接形成介電閘極堆疊。在一些實施例中,介電閘極堆疊可包含在受保護之表面上形成且與所述受保護之表面接觸的界面層,且可接著在界面層上形成介電層。如本文中所揭示,界面層可由氧化物(包含金屬氧化物)形成。適合的金屬氧化物之實例包含過渡金屬矽氧化物(過渡金屬矽酸鹽),包含稀土金屬氧化物,諸如氧化鑭矽或矽酸鑭(LaSiO)以及氧化釔矽或矽酸釔(YSiO)。有利的是,相信在金屬氧化物中併入矽可提高金屬氧化物膜之穩定性及可靠性。在不受理論限制的情況下,相信在薄膜中併入矽可有利地提高金屬氧化物之吸濕性。接著可在界面層上形成介電層,諸如高K介電層。適合的高K介電層之實例包含氧化鉿層。
在一些實施例中,使用包括「主要」循環(包括兩個子循環)之環狀沈積(例如原子層沈積)形成金屬矽氧化物。一個子循環可為氧化矽子循環,且另一個子循環可為稀土金屬氧化物子循環。氧化矽子循環可進行X次且稀土金屬氧化物子循環可進行Y次以完成一個主要循環。應瞭解,氧化矽及稀土金屬氧化物氧化物子循環之相對次序可變化,且X及Y之值可不同且可在用於形成例如界面層之沈積製程期間隨高度不同之分級組合物而變化。
氧化矽子循環可包括基板對矽(Si)前驅體及氧前驅體中之每一者之在時間上隔開之暴露,其中在暴露於各前驅體之後且在暴露於另一前驅體之前自基板移除各別前驅體(例如藉由清洗及/或抽真空)。Si前驅體可包含(但不限於)以下各者中之一或多者:鹵化矽類前驅體,諸如甲氯化矽(SiCl4 )、三氯矽烷(SiCl3 H)、二氯矽烷(SiCl2 H2 )或單氯矽烷(SiClH3 );氧矽烷類前驅體,諸如四乙氧基矽烷(Si(OC2 H5 ));或胺基類前驅體。胺基類前驅體之實例包含:六(乙胺基)二矽烷(AHEAD)及SiH[N(CH3 )2 ]3 (3DMASi);雙(二烷基胺基)矽烷,諸如BDEAS(雙(二乙基胺基)矽烷);及單(烷基胺基)矽烷,諸如二異丙基胺基矽烷。氧前驅體可包含(但不限於)以下各者中之一或多者:H2 O、二原子氧(O2 )、過氧化氫(H2 O2 )、臭氧(O3 )及甲醇(CH3 OH)。沈積之製程參數之實例包含在150℃至350 (包含200℃至350 )範圍內之沈積溫度,及在1托至10托範圍內之沈積壓力。在一些實施例中,不同氧化前驅體可用於不同子循環;舉例而言,O3 可用於氧化矽子循環,而水可用於稀土金屬氧化物子循環。
稀土金屬氧化物子循環(subcycle)可包括基板對稀土金屬前驅體及氧前驅體之在時間上隔開之暴露。在暴露於此等前驅體中之一者之後,可在暴露於另一前驅體之前自基板移除所述各別前驅體(例如藉由清洗及/或抽真空)。
在一些實施例中,稀土金屬前驅體(諸如鑭前驅體)包括鑭與氮之間的鍵。在一些實施例中,稀土金屬前驅體可包括二齒配位體,其經由兩個氮原子結合於鑭。在一些實施例中,稀土金屬前驅體中之稀土金屬(例如鑭)具有+III之氧化態。在一些實施例中,稀土金屬前驅體具有三個有機配位體,諸如含有氮之配位體。在一些實施例中,稀土金屬前驅體(例如鑭)不包括矽。
用於稀土金屬氧化物子循環之鑭前驅體之實例包含(但不限於)以下各者中之一或多者:脒化物類前驅體,諸如甲脒化鑭(La(FAMD)3 )或三(N,N'-二異丙基乙脒基)鑭(La(iPrAMD)3 );二酮前驅體,諸如(La(THD)3 );環戊二烯基(cyclopentadienyl,Cp)類前驅體,諸如三(異丙基環戊二烯基)鑭(La(iPrCp)3 );或醯胺基類化學物質,諸如三(雙三甲基矽烷基醯胺基)鑭(La[N(SiMe3 )2 ]3 )。在一些實施例中,氧前驅體可包含(但不限於)以下各者中之一或多者:H2 O、二原子氧(O2 )、過氧化氫(H2 O2 )、臭氧(O3 )或甲醇(CH3 OH)。
應瞭解,視所需最終產物而定,氧化鑭子循環可由氧化釔子循環或使用其他元素或化合物之子循環替代。其他元素之實例包含鑭系元素、鉺、氧化鉺、鎂、氧化鎂、鈧及氧化鈧。此等其他元素或化合物可能由於其顯示可引起Vt 偏移之能力而合乎需要。
當使用釔作為稀土金屬時,釔前驅體之實例包含(但不限於)以下各者中之一或多者:環戊二烯基(cyclopentadienyl,Cp)類化學物質,諸如Y(EtCp)3 及三(甲基環戊二烯基)釔(Y(MeCp)3 );脒化物類前驅體,諸如三(N,N'-二異丙基乙脒基)釔(TDIPAY);二酮前驅體,諸如(Y(THD)3 )及三(2,2,6,6-四甲基-3,5-辛二酮基)釔(Y(tmod)3 );或醯胺類前驅體,諸如三[N,N- 雙(三甲基矽烷基)醯胺]釔。沈積溫度之實例在150℃至350 (或200℃至350 )範圍內,且沈積壓力之實例在1托至10托範圍內。
有利的是,氧化矽及氧化鑭子循環之改變脈衝比率(X:Y)之能力使得能夠將所需量的矽(Si)併入金屬矽酸鹽薄膜。在一些實施例中,控制脈衝比率可使併入之Si超過65%。不受理論限制,相信較高Si含量可降低LaO之吸濕性以及改良與隨後沈積之高k介電層之生長的相容性。上述沈積實現的另一個益處包含低碳雜質含量。應瞭解,將碳視為捕捉中心且可降低所沈積之裝置之效能。因此,較低碳含量(level)可為較佳的。在一些實施例中,碳雜質含量可小於5%。
現參考圖2,在一些實施例中,使半導體表面(例如高遷移率半導體)暴露於氮前驅體可形成半導體氮氧化物化合物。舉例而言,可在半導體表面形成表面氧化物,例如藉由使表面氧化及/或藉由在表面上沈積半導體氧化物且與表面接觸,且所述表面氧化物可藉由暴露於氮前驅體而氮化,藉此形成半導體氮氧化物化合物。在一些實施例中,如本文所論述,對半導體前驅體、氧前驅體及氮前驅體之暴露可經循環以形成半導體氮氧化物層。
圖2說明流程圖,其說明圖1之製程100之一些實施例之其他細節,其中在使基板氮化之前形成氧化物層。在區塊110處,提供經暴露之半導體表面。區塊110之細節與如上文所論述之圖1之區塊110之細節相同。舉例而言,在一些實施例中,基板表面可在區塊110處經歷預處理製程,其可包括一或多個步驟。在預處理中,基板表面可暴露於一或多種預處理反應物及/或特定條件,諸如溫度或壓力。可出於多種原因使用預處理,包含清潔基板表面、移除雜質、移除原生氧化物及提供所需表面封端。預處理較佳移除原生氧化物,保留實質上無氧半導體表面。在一些實施例中,預處理包括使基板表面暴露於一或多種預處理(或「預清洗」)反應物,諸如(NH4 )2 S、H2 S、HCl、HBr、Cl2 、HF或其組合。在一些實施例中,諸如當使用III-V材料時,可使用HCl作為預處理反應物。在一些實施例中,諸如當使用鍺基板時,可使用HF作為預處理反應物。在一些實施例中,依序或同時使用多種預處理反應物。舉例而言,如本文所論述預處理可包含使基板暴露於液相蝕刻劑,接著使基板暴露於氣相蝕刻劑。
繼續參考圖2,區塊115對應於圖1之氮化區塊115。然而,在圖2之實施例中形成表面氧化物層且氮化,而非使所暴露之高遷移率半導體本身氮化。在區塊120處,在所暴露之高遷移率半導體上形成氧化物層且與所暴露之高遷移率半導體接觸。
在一些實施例中,氧化物較佳為半導體氧化物,其形成含有半導體氧化物之薄膜(其亦可稱為半導體氧化物層)。可藉由使所暴露之高遷移率半導體氧化來形成半導體氧化物。舉例而言,可藉由使基板與氧化劑(諸如O2 、O3 、H2 O、H2 O2 、N2 O、NO、NO2 或其組合)接觸來使基板熱氧化。應瞭解,在無電漿活化氧化劑之情況下進行熱氧化。在一些其他實施例中,氧化劑可經電漿活化。可尤其選擇氧化之持續時間及溫度以形成具有所需厚度之氧化物層。舉例而言,氧化物層之厚度可為約0.1 nm至約1.5 nm,較佳為約0.1 nm至約1.0 nm。
在一些其他實施例中,藉由沈積製程(包含氣相沈積製程,諸如化學氣相沈積(chemical vapor deposition;CVD))形成半導體氧化物層。應瞭解,對於CVD,基板暴露於彼此具有反應性之前驅體,其在氣相中反應以在基板上沈積包括此等前驅體之元素的化合物。可確定反應條件以使前驅體在與基板接觸之前及/或在與基板接觸時分解。
更佳藉由原子層沈積(ALD)來沈積半導體氧化物層。應瞭解,用於形成如本文中所描述之各種層之ALD型製程是基於受控、自我限制表面反應且可提供薄膜組成及層厚度之精確控制,以及高保形性。藉由使基板交替且連續地與反應物接觸或交替且連續地暴露於反應物來避免氣相反應。舉例而言,藉由自反應物脈衝之間的反應室移除過量反應物及/或反應物副產物使反應室中之氣相反應物彼此分離。移除過量反應物及/或反應物副產物可例如藉由使用真空及/或沖洗氣體在每一個反應物氣體之脈衝之後沖洗反應空間來實現。沖洗氣體亦可在每一個反應物氣體之脈衝之前、在每一個反應物氣體之脈衝期間及在每一個反應物氣體之脈衝之後連續流動。舉例而言,在一些實施例中,沖洗氣體亦可充當一或多種反應物之載氣。在一些其他實施例中,交替反應物暴露可藉由移動基板及/或反應器部分而不停止及起始前驅體流入沈積室以實現交替暴露來實現。應瞭解,如本文中所使用,暴露於特定反應物亦可稱為「脈衝」,且「反應物」亦可稱為「前驅體」。使基板暴露於包含用於沈積之各反應物之序列可組成沈積循環;舉例而言,當使用兩種反應物時,使基板暴露於第一反應物且接著暴露於第二反應物可組成一個沈積循環。
各反應物脈衝較佳為自我限制的。在脈衝期間供應過量的反應物以使敏感結構表面飽和。理論上,表面飽和可確保反應物佔據所有可利用之反應位點(例如受物理尺寸或「位阻(steric hindrance)」限制),且因此提供極佳步階覆蓋。在一些配置中,自我限制行為之程度可藉由例如允許反應物脈衝之一些重疊以權衡沈積速度(藉由允許一些CVD型反應)與保形性來調整。使反應物在時間及空間上良好分離之理想ALD條件提供自我限制行為且因此提供最大保形性。在一些實施例中,例如由於位阻,在一或多個循環中沈積少於一個完整單層。在一些實施例中,可藉由例如調節沈積條件以實現某一分解反應(諸如將在CVD或CVD類製程中發生)來沈積超過一個單層。與自我限制ALD反應混合之受限CVD反應可提高沈積速率。重複所需次數之沈積循環以形成具有所需厚度之層。
沈積溫度較佳維持在前驅體熱分解溫度以下,但維持在足夠高水準以避免反應物冷凝且為所需表面反應提供活化能。用於任何既定ALD反應之適當溫度窗將視所涉及之表面封端及反應物質而定。在一些實施例中,本文中各種原子層沈積之反應溫度可在約室溫至約500℃範圍內,包含約20℃至約500℃、約150℃至約400℃、約200℃至約350℃以及約250℃至約300℃。
反應壓力可為約0.1托至約760托。在一些實施例中,反應壓力可為約0.5托至約大氣壓。
繼續參考圖2,在區塊120處,如上文所論述,形成含有半導體氧化物之層。含有氧化物之層較佳由ALD形成,藉由使基板暴露於半導體前驅體及氧前驅體之在時間上隔開之脈衝。在一些實施例中,半導體前驅體沈積與下伏基板中之半導體相同的半導體。舉例而言,可使用鍺前驅體在經暴露之含鍺基板表面上沈積氧化鍺。
在一些實施例中,半導體前驅體可為半導體有機化合物或半導體鹵化物化合物。舉例而言,半導體前驅體可為半導體醇鹽或烷基胺。當半導體為鍺時,適合的鍺前驅體包含鍺醇鹽(例如乙醇鍺)、鍺烷基胺、氯化鍺及環狀鍺烯。
當接觸基板時,半導體前驅體較佳吸附在基板上。在一些實施例中,基板接著暴露於氧前驅體,其與半導體前驅體反應以形成半導體之氧化物,例如氧化鍺。氧前驅體之實例包含O2 、O3 、H2 O、H2 O2 、N2 O、NO、NO2 以及其組合。在一些實施例中,氧前驅體不為電漿之一部分且與半導體形成熱氧化物。在一些實施例中,氧前驅體包括氧自由基,例如由電漿產生之氧自由基。應瞭解,對半導體前驅體及氧前驅體之依序及交替暴露可組成沈積循環,其可經重複直至沈積具有所需厚度之氧化物層。
繼續參考圖2,在區塊130處,藉由使含有氧化物之層暴露於含氮前驅體來使氧化物層氮化。氮化較佳如上文關於圖1之區塊115所論述來進行。較佳以熱學方式進行氮化,而不使含氮前驅體暴露於電漿或由電漿產生之激發物質。
繼續參考圖2,在一些實施例中,可在原子層沈積期間進行區塊120及區塊130,所述原子層沈積經由多次實施區塊120或區塊130來循環。在一些實施例中,在沈積半導體氧化物層期間間歇地進行區塊130,且區塊120及區塊130可依序重複多次。舉例而言,區塊130可由一或多個在區塊120處用於沈積半導體氧化物之ALD沈積循環替代,藉此形成半導體氮氧化物化合物。因此,在一些實施例中,區塊115可視為半導體氮氧化物沈積循環,且可重複區塊115直至形成所需半導體氮氧化物層厚度。在一些實施例中,各半導體氮氧化物沈積循環包含氮化步驟。在一些其他實施例中,用於半導體氧化物之區塊120中之ALD沈積子循環(例如GeO沈積循環)可由區塊130中之氮化子循環替代,所述氮化子循環包含暴露於半導體前驅體及氮前驅體。舉例而言,用於半導體氧化物之區塊120中之一或多個ALD沈積子循環可由區塊130中之一或多個子循環替代,所述區塊130中之一或多個子循環包含暴露於半導體前驅體及隨後暴露於氮前驅體。
應瞭解,對於本文中之任何原子層沈積,前驅體之脈衝之相對比率可不為1:1比率。舉例而言,各沈積循環或子循環可包含相同前驅體之一或多個脈衝。舉例而言,氧化物沈積可包含多次半導體前驅體暴露/一次氧前驅體暴露,或多次氧前驅體暴露/一次半導體前驅體暴露。類似地,氮化可包含多次氮前驅體暴露/一次半導體前驅體暴露,或多次半導體前驅體暴露/一次氮前驅體暴露。舉例而言,若薄膜中需要增加量之半導體或氮,則至少一個ALD循環、每隔一個ALD循環、或每隔三個循環、每隔四個循環、每隔五個循環、每隔六個循環等可包含一或多個其他半導體或氮前驅體脈衝。類似地,若半導體氮氧化物薄膜中需要增加量之氧或半導體,則至少一個ALD循環、每隔一個ALD循環、或每隔三個循環、每隔四個循環、每隔五個循環、每隔六個循環等可分別包含一或多個其他氧或半導體前驅體脈衝。
在一些實施例中,如本文所論述,半導體氮氧化物沈積循環可包含半導體氧化物沈積子循環及半導體氮化物沈積子循環。舉例而言,半導體氧化物沈積子循環可包含暴露於半導體前驅體及氧前驅體(其比率可變化,如上文所論述),且半導體氮化物子循環可包含暴露於半導體前驅體及氮前驅體(其比率變化,如上文所論述)。在一些實施例中,半導體氧化物沈積子循環與半導體氮化物沈積子循環之比率可變化,例如為2:1至約1:5。
此外,前驅體之相對比率可隨夾層沈積之過程而變化以形成具有分級組合物之夾層。舉例而言,半導體前驅體與氧前驅體之比率或氮前驅體與半導體前驅體之比率可隨時間變化。在一些實施例中,半導體氧化物沈積子循環120與半導體氮化物沈積子循環130之比率可隨時間變化,例如隨時間增加以形成具有越來越高的氧濃度及增加之厚度的氮氧化物層。在一些其他實施例中,氮化程度可變化,例如藉由在半導體氮氧化物層之沈積製程中改變氮前驅體之脈衝之數目及/或持續時間,以形成具有例如越來越高的氮濃度及增加之厚度的氮氧化物層。
在半導體表面受保護之後,可在受保護表面上形成多種其他材料之層。舉例而言,可在夾層上沈積介電層,例如高k介電質,諸如HfO2 ,且可在介電層上沈積傳導性閘極材料以形成閘極堆疊。在一些實施例中,傳導性閘極材料可為金屬。應瞭解,在一些實施例中,亦可在半導體氮氧化物層與介電層之間或在介電層與傳導性閘極材料之間提供其他材料之層,以提供所需物理及/或電學特性。
參考圖6,說明半導體反應器系統140。反應器系統140可包含轉移室142,其周圍排列有多個反應室150、反應室200、反應室300以及反應室400(或製程模組,PM)。反應室150、反應室200、反應室300以及反應室400中之每一者具有可封閉的開口,基板可經由此開口傳入及傳出以分別用於將基板裝載至反應室中及自反應室卸載。可在轉移室142中提供一或多個機器人160以用於裝載及卸載基板以及在處理室150、處理室200、處理室300以及處理室400之間轉移基板。在一些實施例中,處理室150、200、300以及400可為單個基板處理室,其經組態以一次性收納及處理一個基板。
應瞭解,處理室150、處理室200、處理室300以及處理室400中之每一者可經組態以在處理室中收納之基板上進行不同處理及/或沈積。舉例而言,處理室150、處理室200、處理室300及處理室400中之每一者可連接至反應物及/或前驅體來源,所述反應物及/或前驅體來源含有用於各種處理及/或沈積之反應物及/或前驅體。舉例而言,第一反應室150可連接至反應物來源170。在一些實施例中,第一反應室可為預處理室或預清洗室,且反應物來源160可包含預處理反應物,諸如(NH4 )2 S、H2 S、HCl、HBr、Cl2 、HF或其組合。
繼續參考圖6,第二反應室200可連接至前驅體來源210。在一些實施例中,第二反應室200可為氮化室,其經組態以用於基板之氮化,且前驅體來源210可包含氮前驅體,諸如肼、肼衍生物或其組合。在一些實施例中,第二反應室200亦可經組態以在基板上形成氧化物層。在此類實施例中,第二反應室200亦可連接至氧前驅體來源220且視情況連接至半導體前驅體來源230。如本文所論述,氧前驅體來源220可用於使基板氧化。在一些實施例中,基板可分別暴露於半導體、氧及氮前驅體以形成氮氧化物層。
應瞭解,在一些實施例中,預處理及氮化可在同一個處理室中進行。舉例而言,第一反應室150可經組態以進行預處理及氮化,及/或第二反應室200可經組態以進行預處理及氮化。在此類配置中,第一反應室150及第二反應室200中之一者或兩者可連接至反應物來源170及前驅體來源210。較佳的是,在此類配置中,預處理及氮化之製程溫度為相同的。
繼續參考圖6,第三反應室300可連接至前驅體來源310及前驅體來源320。在一些實施例中,第三反應室200可為介電沈積室,其經組態以在基板上沈積介電材料層,且前驅體來源310及前驅體來源320可分別包含彼此具有反應性的第一前驅體及第二前驅體。在一些實施例中,前驅體來源310包含鉿前驅體且前驅體來源320包含氧前驅體。
第四反應室400可連接至前驅體來源410、前驅體來源420及前驅體來源430。在一些實施例中,第四反應室400可為另一個介電沈積室,其經組態以在基板上沈積另一個介電材料層,且前驅體來源410、前驅體來源420及前驅體來源430可分別包含彼此具有反應性的第三前驅體、第四前驅體及第五前驅體。在一些實施例中,前驅體來源410可包含稀土金屬(例如鑭)前驅體,前驅體來源420可包含矽前驅體,且前驅體來源430可包含氧前驅體。適合的稀土金屬前驅體、矽前驅體及氧前驅體之實例如上文關於圖1所論述。
應瞭解,連接至反應室150、反應室200、反應室300及反應室400之前驅體來源之數目以及此等前驅體來源中之化學物質可隨此等處理室中將進行之處理及/或沈積而變化。舉例而言,對於既定反應室中之既定沈積,可提供用於所述沈積之適合的前驅體集合。此外,儘管未說明,但應瞭解,惰性氣體之來源亦可與反應室150、反應室200、反應室300及反應室400中之一或多者氣體連通,以向此等反應室提供例如載氣及/或沖洗氣體。
繼續參考圖6,反應器系統140可由控制器500控制,所述控制器500可包含一或多個硬體處理器及一或多個含有程式化之物理記憶體。控制器500可與反應室150、反應室200、反應室300及反應室400資料通信,例如經由有線通信及/或無線通信。控制器500含有用於向反應器系統140發指令之程式,以實施本文中所描述之製程中之任一者中之步驟。前驅體或反應物之時序及順序以及其他製程參數可程式化至控制器500中。在一些實施例中,一或多個用於實施圖1及/或圖2之製程的程式可程式化至控制器500中。實例 1
在金屬氧化物半導體(MOS)電容器中研究鍺之肼保護,除了不存在PN接面(及源極區/汲極區)以外,所述金屬氧化物半導體電容器具有與MOS電晶體類似的結構。鍺為矽晶圓上磊晶生長之1.5 µm鍺層之一部分且經p摻雜。接著藉由在HF中浸漬來預處理基板。接著藉由在可自荷蘭阿爾梅勒ASM國際公司(ASM International N.V. of Almere, the Netherlands)購得之普爾薩ALD反應器(Pulsar® ALD reactor)中暴露於肼來保護經預處理之p摻雜之含有鍺之基板表面。暴露持續時間為在250℃之製程溫度下1分鐘。沈積2 nm氧化鋁層且接著沈積2 nm氧化鉿層以在經肼處理之表面上形成介電堆疊且與所述經肼處理之表面接觸。在介電堆疊上沈積鉑作為閘電極,藉此形成MOS電容器。藉由蒸發來沈積鉑,所述蒸發包含在基板一側上沈積鉑點且在背側上沈積毯覆式鉑層。所得結構提供約0.7 V之VFB (平帶電壓(flat band voltage)),指示鉑之有效功函數為約5.27 eV及低固定電荷。
圖3展示在100 Hz至1 MHz之頻率範圍內,具有經肼處理之鍺表面之金屬氧化物半導體電容器之電容與電壓之關係圖。應瞭解,CET為電容有效厚度,其等於有效氧化物厚度(EOT)加量子機械校正且Dit為界面狀態密度。如所示,肼處理可有利地引起低Dit、CV分散及CV滯後值。
圖4A展示說明MOS電容器之電壓加速器γ及Neff (等效表層電荷(equivalent sheet charge))之關係圖,所述MOS電容器由具有經肼處理之表面及覆蓋2 nm氧化鋁層之2 nm氧化鉿層之覆蓋型介電堆疊之經p摻雜之鍺基板形成。所包含的用於對比的是以下各者之值(自左至右):i)具有在基板與氧化鉿層之間形成的氧化鍺的經p摻雜之鍺基板,及ii)具有在基板與覆蓋2 nm氧化鋁層之2 nm氧化鉿層之介電堆疊之間形成的氧化鍺的經p摻雜之鍺基板。應瞭解,經肼處理之鍺基板之Neff 宜為較低的,而電壓加速器γ(gamma)(說明性線條之各別斜率)宜為較高的。目標設定為3.5 MV/cm下的5 e10/cm2 ,其呈現在1.4 nm CET下能夠承受0.5 V過載之裝置的可靠性說明(reliability specification)。值得注意的是,經肼處理之鍺基板超出目標。實例 2
在MOS電容器中研究使用鍺氮氧化物層進行之經p摻雜之鍺及經n摻雜之鍺之保護。與實例1中相同,鍺為在矽晶圓上磊晶生長之1.5 µm鍺層之一部分且經p摻雜及n摻雜。接著藉由在HF中浸漬來預處理基板。在每個經p摻雜及n摻雜之鍺基板上沈積鍺氮氧化物層。用可自荷蘭阿爾梅勒ASM國際公司購得之普爾薩ALD反應器進行沈積。鍺氮氧化物夾層(如使用四(二甲基胺基)鍺(TDMAGe)藉由ALD沈積)作為鍺前驅體,H2 O作為氧前驅體且肼(N2 H4 )作為氮前驅體。各沈積循環包含以下順序之脈衝: ●    TDMAGe ●    H2 O ●    TDMAGe ●    N2 H4 進行十次循環,其中每次N2 H4 暴露持續時間為數秒。前驅體脈衝及介入沖洗之持續時間依序如下:TDMAGe-3秒;沖洗-4秒;H2 O-3秒;沖洗-6秒;TDMAGe-3秒;沖洗-4秒;N2 H4 -3秒;沖洗-6秒。重複沈積循環直至沈積1 nm厚的層。隨後在鍺氮氧化物層上沈積3 nm氧化鉿層。接著在氧化鉿層上形成鉑閘電極。
圖4B展示說明MOS電容器之電壓加速器γ及Neff (等效表層電荷)之關係圖,所述MOS電容器由具有在基板與覆蓋1 nm氧化鋁層之3 nm氧化鉿層之介電堆疊之間形成的1 nm ALD鍺氮氧化物層的經p摻雜之鍺基板形成。與實例1相同,所包含用於對比的是:i)具有在基板與氧化鉿層之間形成的氧化鍺的經p摻雜之鍺基板,及ii)具有在基板與覆蓋2 nm氧化鋁層之2 nm氧化鉿層之介電堆疊之間形成的氧化鍺的經p摻雜之鍺基板。值得注意的是,與對比性MOS電容器i)及ii)相比,Neff 及γ(γ)皆得到改良。儘管就Neff 及γ(gamma)而言,效能低於實例1中論述之經氮化之pGe表面,但此效能仍符合目標且與具有經肼處理之p摻雜之鍺表面的樣品相比有利地具有較低Dit 值。因此,鍺氮氧化物提供效能參數之有利混合。預期對使用肼或肼衍生物進行之保護製程之調節可提供效能之進一步增加。
圖5展示在100 Hz至1 MHz頻率範圍內,使用上述ALD氮氧化物保護層之金屬氧化物半導體電容器之電容與電壓之關係曲線。有利的是,與具有氧化鍺(GeO)夾層而無氮之在其他方面類似的MOS電容器相比,鍺氮氧化物(GeON)使經p摻雜之鍺基板之Neff 改良因子是3.5且經n摻雜之鍺基板之Neff 改良因子是2.5。較低Neff 表示較佳穩定性及可靠性。因此,GeON層與GeO2 相比提供更好的穩定性。值得注意的是,與GeO2 基線參考相比,GeON層提供經n摻雜之鍺基板之明顯可靠性改良(Neff 低約2.5倍)。應瞭解,實現經n摻雜之鍺基板之此類改良尤其具有挑戰性。實例 3
在金屬氧化物半導體(MOS)電容器中研究含有50%鍺之矽鍺之肼保護,除了不存在PN接面(及源極區/汲極區)以外,所述金屬氧化物半導體電容器具有與MOS電晶體類似的結構。矽鍺為在矽晶圓上形成之20 nm矽鍺層之一部分。藉由在350℃下暴露於HCl保持5分鐘來預處理(預清洗)基板。接著藉由在可自荷蘭阿爾梅勒ASM國際公司購得之霍瑞宗反應器(Horizon® reactor)中暴露於肼來保護經預處理之矽鍺表面。暴露持續時間為在300℃之製程溫度下1分鐘。沈積1 nm氧化鋁層且接著沈積3 nm氧化鉿層以在經肼處理之表面上形成介電堆疊且與所述經肼處理之表面接觸。在可自ASM國際公司購得之普爾薩反應器中進行沈積。在介電堆疊上沈積鉑作為閘電極,藉此形成MOS電容器。藉由蒸發來沈積鉑,所述蒸發包含在基板一側上沈積鉑點且在背側上沈積毯覆式鉑層。所得結構有利地提供5e11/eVcm2 之Dit。實例 4
在金屬氧化物半導體(MOS)電容器中研究含有25%鍺之矽鍺之肼保護。除了矽鍺之組成(與實例3中50%鍺相比,此實例中為25%)以外且除了肼預處理製程溫度(與實例3中300℃相比,此實例中為400℃)以外,此實例之所有細節與實例3相同。所得結構有利地提供1.5e11/eVcm2 之Dit。實例 5
在金屬氧化物半導體(MOS)電容器中研究矽之肼保護。除了待保護之材料之組成(與實例4中之矽鍺相比,此實例中為矽)以外,此實例之所有細節與實例4相同。對於經p摻雜之矽,所得結構有利地提供3.9e10/eVcm2 之Dit,且對於經n摻雜之矽,提供9.2e10/eVcm2 之Dit。
因此,所屬領域中具通常知識者應瞭解,在不偏離本發明的範疇情況下,可對上述製程以及結構進行各種省略、添加以及修改。預期可進行實施例之特定特徵及態樣的各種組合或子組合且其仍在說明書範疇內。所揭示之實施例的各種特徵及態樣可按順序彼此組合或彼此取代。所有此類修改及變化均意欲屬於本發明之範疇,如由隨附申請專利範圍所定義。
140‧‧‧反應器系統
142‧‧‧轉移室
150、200、300、400‧‧‧反應室
160、170‧‧‧反應物來源
210、310、320、410、420、430‧‧‧前驅體來源
220‧‧‧氧前驅體來源
230‧‧‧半導體前驅體來源
500‧‧‧控制器
PM1、PM2、PM3、PM4‧‧‧製程模組
圖1為流程圖,其大體上說明根據一些實施例之用於半導體保護之製程。 圖2為流程圖,其說明圖1之製程之一些實施例之其他細節,其中在氮化基板之前形成氧化物層。 圖3展示根據一些實施例之在100 Hz至1 MHz之頻率範圍內,具有經肼處理之鍺表面之金屬氧化物半導體電容器之電容與電壓之關係圖。 圖4A及圖4B展示根據一些實施例之說明電壓加速器γ及Neff 之關係圖。 圖5展示根據一些實施例之在100 Hz至1 MHz之頻率分佈範圍內,經p摻雜之鍺基板及經n摻雜之鍺基板上經肼處理之夾層之電容與電壓之關係圖。 圖6展示根據一些實施例之半導體反應器系統之實例。
140‧‧‧反應器系統
142‧‧‧轉移室
150、200、300、400‧‧‧處理室
160、170‧‧‧反應物來源
210、310、320、410、420、430‧‧‧前驅體來源
220‧‧‧氧前驅體來源
230‧‧‧半導體前驅體來源
500‧‧‧控制器
PM1、PM2、PM3、PM4‧‧‧製程模組

Claims (32)

  1. 一種積體電路的製造方法,包括: 自半導體基板之表面移除原生氧化物;及 接著藉由使所述基板暴露於肼及肼衍生物中之至少一者來保護所述表面。
  2. 如申請專利範圍第1項所述之積體電路的製造方法,其中保護所述表面包括保護電晶體通道區域。
  3. 如申請專利範圍第2項所述之積體電路的製造方法,更包括在受保護之所述表面上沈積閘極介電層。
  4. 如申請專利範圍第3項所述之積體電路的製造方法,其中所述閘極介電層包括氧化鉿。
  5. 如申請專利範圍第3項所述之積體電路的製造方法,更包括在沈積所述閘極介電層之前沈積界面層。
  6. 如申請專利範圍第5項所述之積體電路的製造方法,其中所述界面層包括金屬氧化物,所述金屬氧化物包括矽。
  7. 如申請專利範圍第6項所述之積體電路的製造方法,其中所述金屬氧化物為氧化鑭矽。
  8. 如申請專利範圍第1項所述之積體電路的製造方法,其中移除原生氧化物包括使所述表面暴露於液相蝕刻劑,接著使所述基板暴露於氣相蝕刻劑。
  9. 如申請專利範圍第1項所述之積體電路的製造方法,其中所述半導體基板之所述表面包括高遷移率半導體。
  10. 如申請專利範圍第9項所述之積體電路的製造方法,其中所述高遷移率半導體包括鍺。
  11. 如申請專利範圍第10項所述之積體電路的製造方法,其中所述高遷移率半導體包括矽鍺。
  12. 如申請專利範圍第10項所述之積體電路的製造方法,其中所述高遷移率半導體包括第III族-第V族半導體。
  13. 如申請專利範圍第1項所述之積體電路的製造方法,其中所述半導體基板之所述表面為矽表面。
  14. 如申請專利範圍第1項所述之積體電路的製造方法,更包括在移除所述原生氧化物之後且在保護所述表面之前,在所述表面上形成含有半導體氧化物之薄膜。
  15. 如申請專利範圍第14項所述之積體電路的製造方法,其中形成所述含有半導體氧化物之薄膜包括使所述基板的表面氧化。
  16. 如申請專利範圍第14項所述之積體電路的製造方法,其中形成所述含有半導體氧化物之薄膜包括進行原子層沈積,所述原子層沈積包括多個沈積循環,各個所述沈積循環包括: 使所述基板經歷對半導體前驅體及氧前驅體之在時間上隔開之暴露。
  17. 如申請專利範圍第14項所述之積體電路的製造方法,其中形成所述含有半導體氧化物之薄膜及使所述基板暴露於肼及肼衍生物中之至少一者為同一次原子層沈積之一部分,其中各沈積循環更包括: 使所述基板經歷對肼及所述肼衍生物中之至少一者的暴露,所述暴露與對半導體前驅體及氧前驅體之暴露在時間上隔開。
  18. 一種積體電路的製造方法,包括: 自半導體基板之電晶體通道區域之表面移除原生氧化物;及 藉由使所述表面暴露於氮前驅體來使所述表面氮化。
  19. 如申請專利範圍第18項所述之積體電路的製造方法,其中所述氮前驅體是由下列各者所構成的群組中選出:肼、肼衍生物以及其組合。
  20. 如申請專利範圍第18項所述之積體電路的製造方法,其中所述通道區域包括矽及鍺。
  21. 如申請專利範圍第18項所述之積體電路的製造方法,更包括在氮化物表面上沈積閘極介電層。
  22. 如申請專利範圍第18項所述之積體電路的製造方法,其中沈積所述閘極介電層包括: 沈積氧化鑭矽層;及 接著沈積氧化鉿層。
  23. 如申請專利範圍第18項所述之積體電路的製造方法,其中使所述表面氮化更包括使所述表面暴露於半導體前驅體及氧前驅體,其中使所述表面暴露於所述半導體前驅體、所述氧前驅體以及所述氮前驅體而形成半導體氮氧化物。
  24. 一種半導體加工反應器系統,包括: 容納基板的預處理室; 預處理反應物之來源,其與所述預處理室氣體連通; 氮化室; 氮前驅體之來源,其與所述氮化室氣體連通;以及 控制器,其經組態以控制: 所述預處理反應物進入所述預處理室之時間及流動;及 所述氮前驅體進入所述氮化室之時間及流動, 其中所述控制器經程式化以實施: 使所述基板暴露於所述預處理室中之所述預處理反應物;及 使所述基板暴露於所述氮化室中之所述氮前驅體。
  25. 如申請專利範圍第24項所述之半導體加工反應器系統,其中所述氮前驅體是由以下各者組成之群組中選出:肼、肼衍生物以及其組合。
  26. 如申請專利範圍第24項所述之半導體加工反應器系統,更包括: 介電沈積室; 第一介電前驅體之來源,其與所述介電沈積室氣體連通;以及 第二介電前驅體之來源,其與所述介電沈積室氣體連通, 其中所述控制器經程式化以實施: 使所述基板暴露於所述介電沈積室中之所述第一介電前驅體;及 使所述基板暴露於所述介電沈積室中之所述第二介電前驅體。
  27. 如申請專利範圍第26項所述之半導體加工反應器系統,其中所述第一介電前驅體包括鉿前驅體且所述第二介電前驅體包括氧前驅體。
  28. 如申請專利範圍第24項所述之半導體加工反應器系統,更包括: 另一個介電沈積室; 第三介電前驅體之來源,其與所述另一個介電沈積室氣體連通; 第四介電前驅體之來源,其與所述另一個介電沈積室氣體連通;以及 第五介電前驅體之來源,其與所述另一個介電沈積室氣體連通, 其中所述控制器經程式化以實施: 使所述基板暴露於所述另一個介電沈積室中之所述第三介電前驅體; 使所述基板暴露於所述另一個介電沈積室中之所述第四介電前驅體;以及 使所述基板暴露於所述另一個介電沈積室中之所述第五介電前驅體。
  29. 如申請專利範圍第28項所述之半導體加工反應器系統,其中所述第三介電前驅體包括鑭,所述第四介電前驅體包括矽,且所述第五介電前驅體包括氧前驅體。
  30. 如申請專利範圍第24項所述之半導體加工反應器系統,更包括: 氧前驅體之來源,其與所述氮化室氣體連通; 其中所述控制器經程式化以實施: 所述基板在所述氮化室中暴露於所述氧前驅體,所述暴露與所述基板對所述氮前驅體之暴露在時間上隔開。
  31. 如申請專利範圍第30項所述之半導體加工反應器系統,更包括: 半導體前驅體之來源,其與所述氮化室氣體連通; 其中所述控制器經程式化以實施: 所述基板在所述氮化室中暴露於所述半導體前驅體,所述暴露與所述基板對所述氮前驅體之暴露在時間上隔開。
  32. 如申請專利範圍第24項所述之半導體加工反應器系統,其中所述預處理室及所述氮化室是同一個室。
TW105117329A 2015-06-03 2016-06-02 積體電路的製造方法及半導體加工反應器系統 TWI744233B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US14/729,510 US9711350B2 (en) 2015-06-03 2015-06-03 Methods for semiconductor passivation by nitridation
US14/729,510 2015-06-03
US15/169,430 US10490475B2 (en) 2015-06-03 2016-05-31 Methods for semiconductor passivation by nitridation after oxide removal
US15/169,430 2016-05-31

Publications (2)

Publication Number Publication Date
TW201705247A true TW201705247A (zh) 2017-02-01
TWI744233B TWI744233B (zh) 2021-11-01

Family

ID=57451019

Family Applications (1)

Application Number Title Priority Date Filing Date
TW105117329A TWI744233B (zh) 2015-06-03 2016-06-02 積體電路的製造方法及半導體加工反應器系統

Country Status (3)

Country Link
US (1) US10490475B2 (zh)
KR (1) KR102375116B1 (zh)
TW (1) TWI744233B (zh)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
MY189436A (en) * 2016-04-12 2022-02-11 Picosun Oy Coating by ald for suppressing metallic whiskers
US10892156B2 (en) * 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
CN108400083A (zh) * 2018-01-16 2018-08-14 电子科技大学 一种氧化铪薄膜稳定过渡族氧化物表面导电层的方法

Family Cites Families (85)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4354198A (en) 1980-05-30 1982-10-12 International Business Machines Corporation Zinc-sulphide capping layer for gallium-arsenide device
FR2604826B1 (fr) 1986-10-06 1989-01-20 France Etat Procede de formation d'une couche isolante comportant du sulfure, derives sulfures obtenus et appareillage pour la mise en oeuvre du procede
US4751200A (en) 1987-03-04 1988-06-14 Bell Communications Research, Inc. Passivation of gallium arsenide surfaces with sodium sulfide
JPH0228322A (ja) * 1988-04-28 1990-01-30 Mitsubishi Electric Corp 半導体基板の前処理方法
US5168077A (en) 1989-03-31 1992-12-01 Kabushiki Kaisha Toshiba Method of manufacturing a p-type compound semiconductor thin film containing a iii-group element and a v-group element by metal organics chemical vapor deposition
JP2676967B2 (ja) 1990-03-28 1997-11-17 松下電器産業株式会社 ヘテロ接合素子及びその製造方法
US5393680A (en) 1990-08-01 1995-02-28 Sumitomo Electric Industries, Ltd. MIS electrode forming process
US5124278A (en) 1990-09-21 1992-06-23 Air Products And Chemicals, Inc. Amino replacements for arsine, antimony and phosphine
KR100320448B1 (ko) * 1994-06-14 2002-04-06 구자홍 고이동도트랜지스터및제조방법
US5451542A (en) 1994-06-21 1995-09-19 Sandia Corporation Surface passivation process of compound semiconductor material using UV photosulfidation
FI100409B (fi) 1994-11-28 1997-11-28 Asm Int Menetelmä ja laitteisto ohutkalvojen valmistamiseksi
US5760462A (en) 1995-01-06 1998-06-02 President And Fellows Of Harvard College Metal, passivating layer, semiconductor, field-effect transistor
US5646419A (en) 1995-04-07 1997-07-08 California Institute Of Technology n-type wide bandgap semiconductors grown on a p-type layer to form hole injection pn heterojunctions and methods of fabricating the same
US6420725B1 (en) 1995-06-07 2002-07-16 Micron Technology, Inc. Method and apparatus for forming an integrated circuit electrode having a reduced contact area
WO1997048499A1 (en) 1996-06-19 1997-12-24 The Board Of Trustees Of Southern Illinois University GaAs SUBSTRATE WITH A PASSIVATING EPITAXIAL GALLIUM SULFIDE FILM AND METHODS FOR FORMING SAME
US6337266B1 (en) 1996-07-22 2002-01-08 Micron Technology, Inc. Small electrode for chalcogenide memories
JP3576323B2 (ja) * 1996-07-25 2004-10-13 新日本無線株式会社 化合物半導体の熱処理方法
US5920105A (en) 1996-09-19 1999-07-06 Fujitsu Limited Compound semiconductor field effect transistor having an amorphous gas gate insulation layer
US6147395A (en) 1996-10-02 2000-11-14 Micron Technology, Inc. Method for fabricating a small area of contact between electrodes
US6015977A (en) 1997-01-28 2000-01-18 Micron Technology, Inc. Integrated circuit memory cell having a small active area and method of forming same
US6207976B1 (en) 1997-12-17 2001-03-27 Fujitsu Limited Semiconductor device with ohmic contacts on compound semiconductor and manufacture thereof
JP3876518B2 (ja) * 1998-03-05 2007-01-31 日亜化学工業株式会社 窒化物半導体基板の製造方法および窒化物半導体基板
US6380097B1 (en) 1998-05-11 2002-04-30 The United States Of America As Represented By The Secretary Of The Air Force Method for obtaining a sulfur-passivated semiconductor surface
FI105313B (fi) 1998-06-03 2000-07-14 Planar Systems Oy Menetelmä ohutkalvo-elektroluminesenssirakenteiden kasvattamiseksi
US6112013A (en) 1998-08-26 2000-08-29 United Microelectronics Corp. Apparatus for detecting a crack in a heater of an acid and rinse bath and a method of crack detection of the same
JP4202502B2 (ja) * 1998-12-28 2008-12-24 株式会社半導体エネルギー研究所 半導体装置
US6670651B1 (en) 2000-05-04 2003-12-30 Osemi, Inc. Metal sulfide-oxide semiconductor transistor devices
US6445015B1 (en) 2000-05-04 2002-09-03 Osemi, Incorporated Metal sulfide semiconductor transistor devices
DE60143541D1 (de) * 2000-09-19 2011-01-05 Mattson Tech Inc Verfahren zur ausbildung dielektrischer filme
US6544906B2 (en) * 2000-12-21 2003-04-08 Texas Instruments Incorporated Annealing of high-k dielectric materials
US6638820B2 (en) 2001-02-08 2003-10-28 Micron Technology, Inc. Method of forming chalcogenide comprising devices, method of precluding diffusion of a metal into adjacent chalcogenide material, and chalcogenide comprising devices
US6727192B2 (en) 2001-03-01 2004-04-27 Micron Technology, Inc. Methods of metal doping a chalcogenide material
US6734455B2 (en) 2001-03-15 2004-05-11 Micron Technology, Inc. Agglomeration elimination for metal sputter deposition of chalcogenides
US6784018B2 (en) 2001-08-29 2004-08-31 Micron Technology, Inc. Method of forming chalcogenide comprising devices and method of forming a programmable memory cell of memory circuitry
US6709958B2 (en) 2001-08-30 2004-03-23 Micron Technology, Inc. Integrated circuit device and fabrication using metal-doped chalcogenide materials
GB0126757D0 (en) 2001-11-07 2002-01-02 Univ Cambridge Tech Organic field effect transistors
US20030143782A1 (en) 2002-01-31 2003-07-31 Gilton Terry L. Methods of forming germanium selenide comprising devices and methods of forming silver selenide comprising structures
US6831019B1 (en) 2002-08-29 2004-12-14 Micron Technology, Inc. Plasma etching methods and methods of forming memory devices comprising a chalcogenide comprising layer received operably proximate conductive electrodes
US6791125B2 (en) 2002-09-30 2004-09-14 Freescale Semiconductor, Inc. Semiconductor device structures which utilize metal sulfides
US6727142B1 (en) * 2002-10-29 2004-04-27 International Business Machines Corporation Orientation independent oxidation of nitrided silicon
KR100494843B1 (ko) 2002-11-01 2005-06-14 한국전자통신연구원 란탄족 이온이 도핑된 2족 금속황화물 형광층 제조 방법
US7115528B2 (en) 2003-04-29 2006-10-03 Micron Technology, Inc. Systems and method for forming silicon oxide layers
US6875661B2 (en) 2003-07-10 2005-04-05 International Business Machines Corporation Solution deposition of chalcogenide films
US6809370B1 (en) * 2003-07-31 2004-10-26 Texas Instruments Incorporated High-k gate dielectric with uniform nitrogen profile and methods for making the same
US7242041B2 (en) 2003-09-22 2007-07-10 Lucent Technologies Inc. Field-effect transistors with weakly coupled layered inorganic semiconductors
EP1562226B1 (en) * 2004-02-06 2020-05-20 IMEC vzw A method for removing oxides from a Germanium semiconductor substrate surface
US20050257824A1 (en) 2004-05-24 2005-11-24 Maltby Michael G Photovoltaic cell including capping layer
US7704896B2 (en) * 2005-01-21 2010-04-27 Asm International, N.V. Atomic layer deposition of thin films on germanium
US7619248B1 (en) 2005-03-18 2009-11-17 Kovio, Inc. MOS transistor with self-aligned source and drain, and method for making the same
US7160781B2 (en) * 2005-03-21 2007-01-09 Infineon Technologies Ag Transistor device and methods of manufacture thereof
US7341960B2 (en) 2005-11-10 2008-03-11 National Sun Yat-Sen University Method for making a metal oxide semiconductor device
US20070111429A1 (en) 2005-11-14 2007-05-17 Macronix International Co., Ltd. Method of manufacturing a pipe shaped phase change memory
US7394088B2 (en) 2005-11-15 2008-07-01 Macronix International Co., Ltd. Thermally contained/insulated phase change memory device and method (combined)
US7524727B2 (en) 2005-12-30 2009-04-28 Intel Corporation Gate electrode having a capping layer
US8796125B2 (en) 2006-06-12 2014-08-05 Kovio, Inc. Printed, self-aligned, top gate thin film transistor
JP2008004736A (ja) 2006-06-22 2008-01-10 Toshiba Corp 半導体パッケージ
US8319204B2 (en) 2006-07-21 2012-11-27 Renesas Electronics Corporation Semiconductor device
JP2008098638A (ja) 2006-10-09 2008-04-24 Korea Electronics Telecommun カルコゲナイド層を持つ薄膜トランジスタ及びその製造方法
JP5205042B2 (ja) 2006-12-20 2013-06-05 株式会社半導体エネルギー研究所 半導体装置の作製方法
JP5329038B2 (ja) 2006-12-21 2013-10-30 宇部日東化成株式会社 半導体装置及び半導体装置の製造方法
KR20100121394A (ko) * 2007-04-07 2010-11-17 레르 리키드 쏘시에떼 아노님 뿌르 레드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 Ta- 또는 Nb-도핑된 고유전상수 막의 퇴적
US20080272355A1 (en) 2007-05-04 2008-11-06 Samsung Electronics Co., Ltd. Phase change memory device and method for forming the same
US20080289650A1 (en) * 2007-05-24 2008-11-27 Asm America, Inc. Low-temperature cleaning of native oxide
US7972898B2 (en) 2007-09-26 2011-07-05 Eastman Kodak Company Process for making doped zinc oxide
US8039926B2 (en) 2007-12-06 2011-10-18 Electronics And Telecommunications Research Institute Method for manufacturing N-type and P-type chalcogenide material, doped homojunction chalcogenide thin film transistor and method of fabricating the same
DE102008011185A1 (de) 2008-02-27 2009-09-03 Osram Opto Semiconductors Gmbh Verfahren zur Herstellung einer dotierten organischen halbleitenden Schicht
US20100159135A1 (en) 2008-12-19 2010-06-24 The Board Of Trustees Of The Leland Stanford Junior University Process for in situ generation of hydrogen sulfide or hydrogen selenide gas using a solid precursor
US7964490B2 (en) 2008-12-31 2011-06-21 Intel Corporation Methods of forming nickel sulfide film on a semiconductor device
KR101535653B1 (ko) 2009-02-09 2015-07-10 삼성전자주식회사 상변화 메모리 소자의 제조방법
US9040113B2 (en) 2009-05-26 2015-05-26 Uchicago Argonne, Llc Atomic layer deposition of metal sulfide thin films using non-halogenated precursors
US8294202B2 (en) 2009-07-08 2012-10-23 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gate structure of a semiconductor device
US8344418B2 (en) 2009-12-23 2013-01-01 Intel Corporation Materials for interfacing high-K dielectric layers with III-V semiconductors
FR2981199B1 (fr) 2011-10-10 2014-06-27 Altis Semiconductor Snc Dispositif microelectronique a memoire programmable comportant une couche de chalcogenure dope resistante a des temperatures elevees
BR112014010178A2 (pt) 2011-10-28 2017-06-27 Univ Georgetown processo e sistema para gerar uma fotorresposta de junções de schottky de mos2
US9147582B2 (en) 2011-12-19 2015-09-29 First Solar, Inc. Manufacturing methods for semiconductor devices
US8865551B2 (en) * 2012-06-28 2014-10-21 International Business Machines Corporation Reducing the inversion oxide thickness of a high-k stack fabricated on high mobility semiconductor material
US20140011339A1 (en) * 2012-07-06 2014-01-09 Applied Materials, Inc. Method for removing native oxide and residue from a germanium or iii-v group containing surface
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US8890264B2 (en) * 2012-09-26 2014-11-18 Intel Corporation Non-planar III-V field effect transistors with conformal metal gate electrode and nitrogen doping of gate dielectric interface
US9245742B2 (en) 2013-12-18 2016-01-26 Asm Ip Holding B.V. Sulfur-containing thin films
US9478419B2 (en) 2013-12-18 2016-10-25 Asm Ip Holding B.V. Sulfur-containing thin films
KR101514600B1 (ko) 2014-03-28 2015-04-23 성균관대학교산학협력단 반도체 소자 및 반도체 소자 제조 방법
US20150340228A1 (en) * 2014-05-14 2015-11-26 Tokyo Electron Limited Germanium-containing semiconductor device and method of forming
US9711396B2 (en) 2015-06-16 2017-07-18 Asm Ip Holding B.V. Method for forming metal chalcogenide thin films on a semiconductor device
US9741815B2 (en) 2015-06-16 2017-08-22 Asm Ip Holding B.V. Metal selenide and metal telluride thin films for semiconductor device applications

Also Published As

Publication number Publication date
KR102375116B1 (ko) 2022-03-16
US10490475B2 (en) 2019-11-26
TWI744233B (zh) 2021-11-01
KR20160142793A (ko) 2016-12-13
US20160358835A1 (en) 2016-12-08

Similar Documents

Publication Publication Date Title
US9711350B2 (en) Methods for semiconductor passivation by nitridation
KR102623131B1 (ko) 게이트 유전체들에 대한 원자층 성막의 구현을 위한 방법 및 장치
US9236245B2 (en) ZrA1ON films
US7972978B2 (en) Pretreatment processes within a batch ALD reactor
CN112992667A (zh) 形成氮化钒层的方法和包括氮化钒层的结构
US20210399111A1 (en) Titanium aluminum and tantalum aluminum thin films
US20060153995A1 (en) Method for fabricating a dielectric stack
TWI661080B (zh) 金屬矽化物的選擇性形成
JP2020133002A (ja) 反応チャンバーにおいて循環堆積プロセスにより基材上に酸化ハフニウムランタン膜を堆積させるための方法
TWI744233B (zh) 積體電路的製造方法及半導體加工反應器系統
JP2010016298A (ja) 金属酸化物薄膜の成膜方法
US8633114B2 (en) Methods for manufacturing high dielectric constant films
US20170040158A1 (en) Low temperature ald on semiconductor and metallic surfaces
TWI515803B (zh) 矽化鉭內的摻雜鋁
US20050170665A1 (en) Method of forming a high dielectric film
TWI841680B (zh) 於反應腔室中藉由循環沉積製程於基板上沉積鉿鑭氧化物膜之方法
US20220285146A1 (en) Methods and systems for forming a layer comprising vanadium and nitrogen
TW202331841A (zh) 半導體結構和其形成方法以及用於執行方法之設備
TW202140831A (zh) 形成含氮化釩層及包含該層的結構之方法