TW201637099A - 半導體裝置的製造方法 - Google Patents

半導體裝置的製造方法 Download PDF

Info

Publication number
TW201637099A
TW201637099A TW105103679A TW105103679A TW201637099A TW 201637099 A TW201637099 A TW 201637099A TW 105103679 A TW105103679 A TW 105103679A TW 105103679 A TW105103679 A TW 105103679A TW 201637099 A TW201637099 A TW 201637099A
Authority
TW
Taiwan
Prior art keywords
fin
gate electrode
mandrel
core
forming
Prior art date
Application number
TW105103679A
Other languages
English (en)
Other versions
TWI692813B (zh
Inventor
李東勳
善欽 朴
Original Assignee
三星電子股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 三星電子股份有限公司 filed Critical 三星電子股份有限公司
Publication of TW201637099A publication Critical patent/TW201637099A/zh
Application granted granted Critical
Publication of TWI692813B publication Critical patent/TWI692813B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66484Unipolar field-effect transistors with an insulated gate, i.e. MISFET with multiple gate, at least one gate being an insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B10/00Static random access memory [SRAM] devices
    • H10B10/12Static random access memory [SRAM] devices comprising a MOSFET load element

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Semiconductor Memories (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Thin Film Transistor (AREA)

Abstract

一種半導體裝置製造方法包括:在基板上依序形成硬罩幕層及犧牲層;在犧牲層上形成包括第一至第三上部子芯軸的上部芯軸,第一上部子芯軸的寬度小於第二及第三上部子芯軸的寬度;在各上部子芯軸側壁上形成第一間隙壁;移除上部芯軸;使用第一間隙壁為蝕刻遮罩蝕刻犧牲層以形成包括多個下部子芯軸的下部芯軸;在下部子芯軸側壁上形成第二間隙壁;移除下部芯軸;使用第二間隙壁為蝕刻遮罩圖案化硬罩幕層及基板以形成第一至第十鰭片;移除第一、第二、第五及第八鰭片;及形成第一及第二閘電極。

Description

半導體裝置的製造方法
本發明概念是有關於一種半導體裝置及其製造方法。
使用具有為鰭片或奈米線(nanowire)形狀的多通道主動圖案的多閘極電晶體來增強半導體裝置的積體密度。由於多閘極電晶體使用三維通道,故其可被輕易地縮放。此外,可在不增加多閘極電晶體的閘極長度下來提高電流控制能力。此外,亦可有效地抑制其中通道區的電位受汲極電壓影響的短通道效應(short channel effect)。
本發明概念的態樣提供一種具有改良的運作特性的半導體裝置。
本發明概念的另一態樣提供一種製造具有改良的運作特性的半導體裝置的方法。
本揭露內容的態樣並非僅限於以上所提及的態樣,且熟習此項技術者藉由以下說明將清晰地理解未提及的其他態樣。
根據本發明概念的態樣,提供一種製造半導體裝置的方法,所述方法包括:在基板上依序形成硬罩幕層及第一犧牲層;在所述第一犧牲層上形成至少包括第一上部子芯軸至第三上部子芯軸的上部芯軸,所述第一上部子芯軸至所述第三上部子芯軸各自在第一方向上延伸且在與所述第一方向交叉的第二方向上彼此間隔開,所述第一上部子芯軸在所述第二方向上的寬度小於所述第二上部子芯軸及所述第三上部子芯軸在所述第二方向上的寬度;在所述第一上部子芯軸至所述第三上部子芯軸中每一者的兩個側壁上均形成第一間隙壁;移除所述上部芯軸;使用所述第一間隙壁作為蝕刻遮罩來蝕刻所述第一犧牲層,以形成至少包括第一下部子芯軸至第三下部子芯軸的下部芯軸;在所述第一下部子芯軸至所述第三下部子芯軸的兩個側壁上均形成第二間隙壁;移除所述下部芯軸;使用所述第二間隙壁作為蝕刻遮罩來圖案化所述硬罩幕層及所述基板,以形成鰭片型圖案,所述鰭片型圖案包括第一鰭片至第十鰭片,所述第一鰭片至所述第十鰭片在所述第一方向上彼此並排延伸且在所述第二方向上彼此間隔開;移除所述第一鰭片、所述第二鰭片、所述第五鰭片及所述第八鰭片;以及形成第一閘電極及第二閘電極,所述第一閘電極在所述第二方向上延伸以與所述第三鰭片、所述第四鰭片、所述第六鰭片及所述第七鰭片交叉,且所述第二閘電極在所述第二方向上延伸以與所述第六鰭片、所述第七鰭片、所述第九鰭片及所述第十鰭片交叉而不與所述第三鰭片及所述第四鰭片交叉,並且所述第二閘電極在所述第一方向上與所述第一閘電極間隔開。
在本發明概念的某些實施例中,形成所述上部芯軸包括:在所述第一犧牲層上形成第二犧牲層;以及藉由蝕刻所述第二犧牲層而形成所述上部芯軸。
在本發明概念的某些實施例中,所述製造半導體裝置的方法可更包括:在所述第一犧牲層與所述第二犧牲層之間形成抗反射層。
在本發明概念的某些實施例中,所述第二上部子芯軸的寬度不同於所述第三上部子芯軸的寬度。
在本發明概念的某些實施例中,所述第一上部子芯軸與所述第二上部子芯軸之間的間隔小於所述第二上部子芯軸與所述第三上部子芯軸之間的間隔。
在本發明概念的某些實施例中,所述第一間隙壁是藉由原子層沈積(atomic layer deposition,ALD)製程形成。
在本發明概念的某些實施例中,所述下部子芯軸在所述第二方向上均具有相同的寬度。
在本發明概念的某些實施例中,所述硬罩幕層含有含矽材料、含碳材料以及金屬中的至少一者。
在本發明概念的某些實施例中,所述製造半導體裝置的方法可更包括:在移除所述第一鰭片、所述第二鰭片、所述第五鰭片及所述第八鰭片之後形成元件隔離膜,其中所述第三鰭片型圖案、所述第四鰭片型圖案、所述第六鰭片型圖案、所述第七鰭片型圖案、所述第九鰭片型圖案及所述第十鰭片型圖案突出於所述元件隔離膜的上表面上方。
在本發明概念的某些實施例中,形成所述元件隔離膜包括:藉由蝕刻所述第一鰭片及所述第二鰭片而形成溝槽;以及在所述溝槽中形成所述元件隔離膜。
在本發明概念的某些實施例中,移除所述第一鰭片、所述第二鰭片、所述第五鰭片及所述第八鰭片包括:藉由蝕刻所述第一鰭片及所述第二鰭片而形成第一溝槽,藉由蝕刻所述第五鰭片而形成第二溝槽,並藉由蝕刻所述第八鰭片而形成第三溝槽。
根據本發明概念的另一態樣,提供一種製造半導體裝置的方法,所述方法包括:在基板上依序形成硬罩幕層及犧牲層;在所述犧牲層上形成第一虛設芯軸及第一主動芯軸,所述第一虛設芯軸的寬度不同於所述第一主動芯軸的寬度;形成藉由所述第一虛設芯軸的寬度間隔開之第二虛設芯軸及藉由所述第一主動芯軸的寬度間隔開之第二主動芯軸;形成藉由所述第二虛設芯軸的寬度間隔開之多個第一虛設鰭片、以及藉由所述第二主動芯軸而彼此間隔開之主動式鰭片及第二虛設鰭片;藉由蝕刻所述第一虛設鰭片及所述第二虛設鰭片而形成在第一方向上彼此並排延伸且在與所述第一方向交叉的第二方向上依序彼此間隔開的第一主動式鰭片至第六主動式鰭片;以及形成第一閘電極及第二閘電極,所述第一閘電極在所述第二方向上延伸並與所述第一主動式鰭片至所述第四主動式鰭片交叉,且所述第二閘電極在所述第二方向上延伸並與所述第三主動式鰭片至所述第六主動式鰭片交叉而不與所述第一主動式鰭片及所述第二主動式鰭片交叉,並且所述第二閘電極在所述第一方向上與所述第一閘電極間隔開。
在本發明概念的某些實施例中,蝕刻所述第一虛設鰭片包括在蝕刻所述第一虛設鰭片的同時形成溝槽。
在本發明概念的某些實施例中,所述製造半導體裝置的方法可更包括形成填充所述溝槽的元件隔離膜。
在本發明概念的某些實施例中,所述第二虛設芯軸的寬度與所述第二主動芯軸的寬度彼此相同。
在本發明概念的某些實施例中,所述第一主動式鰭片及所述第二主動式鰭片與所述第一閘電極交叉以界定第一電晶體,所述第三主動式鰭片與所述第一閘電極交叉以界定第二電晶體,且所述第四主動式鰭片與所述第二閘電極交叉以界定第三電晶體。
在本發明概念的某些實施例中,所述第一電晶體的導電類型不同於所述第二電晶體及所述第三電晶體的導電類型。
在本發明概念的某些實施例中,所述第一電晶體的導電類型是N型,而所述第二電晶體及所述第三電晶體的導電類型是P型。
根據本發明概念的又一態樣,提供一種製造半導體裝置的方法,所述方法包括:在基板上依序形成硬罩幕層及第一犧牲層;在所述第一犧牲層上形成包括多個上部子芯軸的上部芯軸;在所述上部子芯軸的側壁上形成第一間隙壁;移除所述上部芯軸;使用所述第一間隙壁作為蝕刻遮罩來蝕刻所述第一犧牲層,以形成包括多個下部子芯軸的下部芯軸;在所述多個下部子芯軸的側壁上形成第二間隙壁;移除所述下部芯軸;藉由使用所述第二間隙壁作為蝕刻遮罩來圖案化所述硬罩幕層及所述基板而形成第一主動式鰭片至第六主動式鰭片,所述第一主動式鰭片至所述第六主動式鰭片在第一方向上彼此並排延伸且在與所述第一方向交叉的第二方向上彼此間隔開;以及形成第一閘電極及第二閘電極,所述第一閘電極在所述第二方向上延伸以與所述第一主動式鰭片至所述第四主動式鰭片交叉,且所述第二閘電極在所述第二方向上延伸以與所述第三主動式鰭片至所述第六主動式鰭片交叉,所述第二閘電極不與所述第一主動式鰭片及所述第二主動式鰭片交叉,並且所述第二閘電極在所述第一方向上與所述第一閘電極間隔開。
在本發明概念的某些實施例中,所述多個上部子芯軸至少包括第一上部子芯軸及第二上部子芯軸,且所述第一上部子芯軸的寬度與所述第二上部子芯軸的寬度彼此不同。
在本發明概念的某些實施例中,所述第一主動式鰭片與所述第二主動式鰭片之間的間隔小於40奈米。
在本發明概念的某些實施例中,所述第三主動式鰭片的一部分在所述第二方向上交疊所述第四主動式鰭片的一部分。
在本發明概念的某些實施例中,所述製造半導體裝置的方法可更包括:在所述第一主動式鰭片至所述第六主動式鰭片的兩側上形成溝槽;以及填充所述溝槽以形成元件隔離膜,其中所述第一主動式鰭片至所述第六主動式鰭片突出於所述元件隔離膜的上表面的上方。
根據本發明概念的態樣,提供一種半導體裝置,所述半導體裝置包括:在第一方向上彼此並排延伸的第一主動式鰭片至第六主動式鰭片;在與所述第一方向交叉的第二方向上延伸的第一閘電極,所述第一閘電極與所述第一主動式鰭片至所述第四主動式鰭片交叉;以及在所述第二方向上延伸以與所述第三主動式鰭片至所述第六主動式鰭片交叉的第二閘電極,所述第二閘電極不與所述第一主動式鰭片及所述第二主動式鰭片交叉,所述第二閘電極在所述第一方向上與所述第一閘電極間隔開,其中所述第一主動式鰭片與所述第二主動式鰭片之間的第一間隔相同於所述第五主動式鰭片與所述第六主動式鰭片之間的第二間隔,且其中所述第二主動式鰭片與所述第三主動式鰭片之間的第三間隔不同於所述第一間隔,且所述第三間隔不是所述第一間隔的整數倍。
在本發明概念的某些實施例中,所述半導體裝置可更包括位於所述第二主動式鰭片與所述第三主動式鰭片之間的第一溝槽以及位於所述第四主動式鰭片與所述第五主動式鰭片之間的第二溝槽。
在本發明概念的某些實施例中,所述第一溝槽的側壁不與所述第二主動式鰭片的側壁及所述第三主動式鰭片的側壁連續。
在本發明概念的某些實施例中,所述第一主動式鰭片的長度及所述第二主動式鰭片的長度不同於所述第三主動式鰭片的長度及所述第四主動式鰭片的長度。
在本發明概念的某些實施例中,所述第一主動式鰭片與所述第二主動式鰭片之間的間隔小於40奈米。
在本發明概念的某些實施例中,所述第一主動式鰭片至所述第六主動式鰭片是藉由自對準四重圖案化(self-aligned quadruple patterning,SAQP)技術而形成。
在本發明概念的某些實施例中,所述第三間隔大於所述第一間隔。
在本發明概念的某些實施例中,所述第三主動式鰭片的一部分在所述第二方向上交疊所述第四主動式鰭片的一部分。
在本發明概念的某些實施例中,所述第一主動式鰭片及所述第二主動式鰭片與所述第一閘電極交叉以界定第一電晶體,所述第三主動式鰭片與所述第一閘電極交叉以界定第二電晶體,且所述第四主動式鰭片與所述第二閘電極交叉以界定第三電晶體。
在本發明概念的某些實施例中,所述第一電晶體的導電類型不同於所述第二電晶體及所述第三電晶體的導電類型。
在本發明概念的某些實施例中,所述第一電晶體的導電類型是N型導電型,而所述第二電晶體及所述第三電晶體的導電類型是P型導電型。
在本發明概念的某些實施例中,所述半導體裝置可更包括位於所述第一主動式鰭片至所述第六主動式鰭片上的摻雜雜質的磊晶層(impurity-doped epitaxial layer),其中所述摻雜雜質的磊晶層的位於所述第一主動式鰭片及所述第二主動式鰭片,且所述第五主動式鰭片及所述第六主動式鰭片上的部分的導電類型不同於所述摻雜雜質的磊晶層的位於所述第三主動式鰭片及所述第四主動式鰭片上的部分的導電類型。
在本發明概念的某些實施例中,所述半導體裝置可更包括記憶體胞元陣列區及週邊區,其中所述記憶體胞元陣列區包括多個記憶體胞元區,且所述記憶體胞元區中的每一者包括所述第一主動式鰭片至所述第六主動式鰭片,以及所述第一閘電極及所述第二閘電極。
根據本發明概念的又一態樣,提供一種製造半導體裝置的方法,所述方法包括:在基板上形成硬罩幕層;在與所述基板相對的所述硬罩幕層上形成第一犧牲層;在所述第一犧牲層上形成上部芯軸,所述上部芯軸至少包括第一上部子芯軸及第二上部子芯軸,所述第一上部子芯軸及所述第二上部子芯軸各自在第一方向上延伸且在與所述第一方向交叉的第二方向上彼此間隔開;在所述第一上部子芯軸的側壁及所述第二上部子芯軸的側壁上形成第一間隙壁;選擇性地移除所述上部芯軸;使用所述第一間隙壁作為蝕刻遮罩來蝕刻所述第一犧牲層,以形成包括至少第一下部子芯軸至第四下部子芯軸的下部芯軸;在所述第一下部子芯軸至所述第四下部子芯軸的側壁上形成第二間隙壁;移除所述下部芯軸;使用所述第二間隙壁作為蝕刻遮罩來圖案化所述硬罩幕層及所述基板,以形成鰭片型圖案,所述鰭片型圖案包括第一鰭片至第八鰭片,所述第一鰭片至所述第八鰭片在所述第一方向上彼此並排延伸且在所述第二方向上彼此間隔開;移除所述第三鰭片及所述第六鰭片;以及形成第一閘電極及第二閘電極,所述第一閘電極在所述第二方向上延伸以與所述第一鰭片、所述第二鰭片、所述第四鰭片及所述第五鰭片交叉,且所述第二閘電極在所述第二方向上延伸以與所述第四鰭片、所述第五鰭片、所述第七鰭片及所述第八鰭片交叉而不與所述第一鰭片及所述第二鰭片交叉,並且所述第二閘電極在所述第一方向上與所述第一閘電極間隔開。
在本發明概念的某些實施例中,所述第一鰭片與所述第二鰭片之間的第一間隔可相同於所述第七鰭片與所述第八鰭片之間的第二間隔,且所述第二鰭片與所述第四鰭片之間的第三間隔不同於所述第一間隔且可不為所述第一間隔的整數倍。
在本發明概念的某些實施例中,所述第一鰭片與所述第二鰭片之間的間隔可小於40奈米。
在本發明概念的某些實施例中,所述第一鰭片及所述第二鰭片可與所述第一閘電極交叉以界定第一電晶體,所述第四鰭片可與所述第一閘電極交叉以界定第二電晶體,且其中所述第五鰭片可與所述第二閘電極交叉以界定第三電晶體。
在本發明概念的某些實施例中,所述第一電晶體的導電類型可不同於所述第二電晶體及所述第三電晶體的導電類型。
現在將藉由參照其中示出本發明概念的實施例的附圖在下文中更充分地闡述本發明概念。然而,本發明概念可實施為不同形式而不應被視為僅限於本文所說明的實施例。確切而言,提供該些實施例使此揭露內容將透徹及完整,並將向熟習此項技術者充分傳達本發明概念的範圍。本說明書通篇中相同的參考編號表示相同的組件。在附圖中,為清楚起見,可誇大層及區的厚度。
應理解,當稱元件或層「連接至」或「耦合至」另一元件或層、或位於另一元件或層「上」時,所述元件或層可直接連接至或耦合至另一元件或層、或位於另一元件或層上、抑或可存在中間元件或層。相比之下,當稱元件「直接連接至」或「直接耦合至」另一元件或層、或「直接」位於另一元件或層「上」時,則不存在中間元件或層。本文中所用用語「及/或」包括相關所列項中的一或多個項的任意及所有組合。
應理解,儘管本文可能使用用語「第一」、「第二」等來闡述各種元件,該些元件不應僅限於該些用語。該些用語僅用於區分各個元件。因此,舉例而言,以下討論的第一元件、第一組件或第一區段可被稱為第二元件、第二組件或第二區段,而此並不背離本發明概念的教示內容。
在闡述本發明概念的上下文中(特別是在以下申請專利範圍的上下文中)使用用語「一」及「所述」以及類似指示語應被視為涵蓋單數形式及複數形式二者,除非本文另有說明或與上下文明顯相左。除非另有表示,否則用語「包括」、「具有」、「包含」及「含有」應被視為開放式用語(也就是說,意指「包含,但不限於」)。
除非另有定義,否則本文中所使用的所有技術及科學用語的意義皆與本發明概念所屬技術領域中具有通常知識者所通常理解的意義相同。應注意,除非另有規定,否則使用本文所提供的任意及全部實例或示例性用語僅是旨在更佳地說明本發明概念而並非限制本發明概念的範圍。
圖1是根據本發明概念的實施例的半導體裝置1的概念圖。圖2是圖1所示記憶體胞元陣列區的概念圖。
參照圖1,半導體裝置1可包括記憶體胞元陣列區MR及週邊電路區PR。記憶體胞元陣列區MR及週邊電路區PR可安置於半導體裝置1的基板50上。
記憶體元件可安置於記憶體胞元陣列區MR中。作為所述記憶體元件的實例,可採用靜態隨機存取記憶體(static random access memory,SRAM)、動態隨機存取記憶體(dynamic random access memory,DRAM)、反及(NAND)或反或(NOR)快閃記憶體、磁性隨機存取記憶體(magnetic random access memory,MRAM)、相變隨機記憶體(phase change random access memory,PRAM)、電阻式隨機存取記憶體(resistive random access memory,RRAM)等,但本發明概念並非僅限於此。
用於驅動安置於記憶體胞元陣列區MR中的記憶體元件的元件可安置於週邊電路區PR中。此種元件的實例包括輸入及輸出緩衝器(input and output buffer,I/O buffer)、讀取電路(read circuit)、寫入電路(write circuit)等,但本發明概念並非僅限於該些實例。
參照圖2,記憶體胞元陣列區MR可包括多個記憶體胞元區。
在下文中,將作為實例闡述一種配置,在所述配置中,記憶體胞元陣列區MR包括多個SRAM記憶體胞元區SMC1及SRAM記憶體胞元區SMC2,所述多個SRAM記憶體胞元區SMC1及SRAM記憶體胞元區SMC2各自包括安置於其中的多個SRAM元件,但本發明概念並非僅限於此。
所述多個SRAM記憶體胞元區SMC1及SRAM記憶體胞元區SMC2可如所示一般被安置成格柵形狀以具有陣列形式。可在SRAM記憶體胞元區SMC1及SRAM記憶體胞元區SMC2中的每一者中安置SRAM胞元。
以下將參照圖3至圖6更詳細地闡述SRAM胞元。
圖3是圖2所示SRAM記憶體胞元區的電路圖。圖4是圖2所示SRAM記憶體胞元區的佈局圖。圖5是說明圖4所示下拉電晶體的立體圖。圖6是說明圖4所示上拉電晶體的立體圖。
首先參照圖3,半導體裝置1可包括:一對反相器INV1及反相器INV2,並聯連接於電源供應節點Vcc與接地節點Vss之間;以及第一傳送電晶體(pass transistor)PS1與第二傳送電晶體PS2,連接至相應的反相器INV2及反相器INV1的輸出節點。
第一傳送電晶體PS1及第二傳送電晶體PS2可分別連接至位元線BL及互補位元線BL/。第一傳送電晶體PS1的閘極及第二傳送電晶體PS2的閘極可連接至字元線WL。
第一反相器INV1可包括在電源供應節點Vcc與接地節點Vss之間串聯連接的第一上拉電晶體PU1及第一下拉電晶體PD1,且第二反相器INV2可包括在電源供應節點Vcc與接地節點Vss之間串聯連接的第二上拉電晶體PU2及第二下拉電晶體PD2。
在實施例中,第一上拉電晶體PU1及第二上拉電晶體PU2可為PFET電晶體(p-channel field effect transistor),且第一下拉電晶體PD1及第二下拉電晶體PD2可為NFET電晶體(n-channel field effect transistor)。
此外,為了使第一反相器INV1及第二反相器INV2構成鎖存電路(latch circuit),第一反相器INV1的輸入節點可連接至第二反相器INV2的輸出節點,且第二反相器INV2的輸入節點可連接至第一反相器INV1的輸出節點。
參照圖3至圖7,第一主動式鰭片F1、第二主動式鰭片F2、第三主動式鰭片F3、第四主動式鰭片F4、第五主動式鰭片F5及第六主動式鰭片F6在第一方向X上彼此間隔開,且該些主動式鰭片F1-F6中的每一者在第二方向Y上延伸。
在某些實施例中,如圖所示,第三主動式鰭片F3及第四主動式鰭片F4可短於其餘主動式鰭片F1、F2、F5、F6。
第一主動式鰭片F1與第二主動式鰭片F2可彼此間隔開第一間隔P1。第二主動式鰭片F2與第三主動式鰭片F3可彼此間隔開第二間隔P2。第三主動式鰭片F3與第四主動式鰭片F4可彼此間隔開第三間隔P3。第四主動式鰭片F4與第五主動式鰭片F5可彼此間隔開第四間隔P4。第五主動式鰭片F5與第六主動式鰭片F6可彼此間隔開第五間隔P5。在某些實施例中,第五間隔P5可相同於第一間隔P1。
第二間隔P2可大於第一間隔P1。因此,第一主動式鰭片F1與第二主動式鰭片F2可較第三主動式鰭片F3與第四主動式鰭片F4更接近於彼此,且第五主動式鰭片F5與第六主動式鰭片F6可較第三主動式鰭片F3與第四主動式鰭片F4更接近於彼此。
此外,第三間隔P3可大於第一間隔P1。第四間隔P4亦可大於第一間隔P1。在某些實施例中,第四間隔P4可相同於第二間隔P2。然而,在其他實施例中,第四間隔P4可不同於第二間隔P2。
第三間隔P3可不同於第二間隔P2及第四間隔P4。然而,本發明概念的實施例並非僅限於此。
第一閘電極251、第二閘電極252、第三閘電極253及第四閘電極254可在第一方向X上延伸。
第一閘電極251可在第一方向X上與第四閘電極254間隔開,且可在第二方向Y上與第二閘電極252及第三閘電極253間隔開。第二閘電極252可在第一方向X上與第三閘電極253間隔開,且可在第二方向Y上與第一閘電極251及第四閘電極254間隔開。
第一閘電極251可與第一主動式鰭片F1、第二主動式鰭片F2、第三主動式鰭片F3及第四主動式鰭片F4交叉。第二閘電極252可與第一主動式鰭片F1及第二主動式鰭片F2交叉。第三閘電極253可與第三主動式鰭片F3、第四主動式鰭片F4、第五主動式鰭片F5及第六主動式鰭片F6交叉。第四閘電極254可與第五主動式鰭片F5及第六主動式鰭片F6交叉。
具體而言,第一閘電極251可與第一主動式鰭片F1、第二主動式鰭片F2及第三主動式鰭片F3完全地交叉,且可部分地交疊第四主動式鰭片F4的末端。第三閘電極253可與第四主動式鰭片F4、第五主動式鰭片F5及第六主動式鰭片F6完全地交叉,且可部分地交疊第三主動式鰭片F3的末端。
參照圖4及圖5,第一下拉電晶體PD1可界定於第一閘電極251與第一主動式鰭片F1及第二主動式鰭片F2之間的交叉區處。
第一下拉電晶體PD1可包括第一主動式鰭片F1、第二主動式鰭片F2、第一閘電極251、第一功函數調整層292、閘極絕緣膜132、間隙壁115及第一雜質磊晶層130。
第一主動式鰭片F1及第二主動式鰭片F2可在第三方向Z上自基板100突出且可在第二方向Y上延伸。
在某些實施例中,基板100可包含半導體材料。所述半導體材料可例如包括自Si、Ge、SiGe、GaP、GaAs、SiC、SiGeC、InAs及InP所組成的群組選出的一種或多種材料。
然而,本發明概念的實施例並非僅限於該些實例。舉例而言,在某些其他實施例中,基板100可為絕緣基板。也就是說,基板100可例如為絕緣體上覆矽(silicon on insulator,SOI)基板。因此,若基板100為絕緣體上覆矽,則可提高所述半導體裝置的響應速度(response speed)。
第一主動式鰭片F1及第二主動式鰭片F2可分別具有長邊及短邊。
在圖4中,第一主動式鰭片F1的長邊及第二主動式鰭片F2的長邊在第二方向Y上延伸,且第一主動式鰭片F1的短邊及第二主動式鰭片F2的短邊在第一方向X上延伸,但本揭露內容並非僅限於此。
在某些其他實施例中,第一主動式鰭片F1的長邊及第二主動式鰭片F2的長邊可在第一方向X上延伸,且第一主動式鰭片F1的短邊及第二主動式鰭片F2的短邊可在第二方向Y上延伸。
第一主動式鰭片F1及第二主動式鰭片F2可為基板100的一部分及/或可包括自基板100成長的磊晶層。
在某些實施例中,第一主動式鰭片F1及第二主動式鰭片F2可包括半導體材料。在此種情形中,第一主動式鰭片F1及第二主動式鰭片F2可例如含有Si、SiGe或類似物等。
在某些實施例中,第一主動式鰭片F1及第二主動式鰭片F2可含有與基板100相同的材料。舉例而言,當基板100包含Si時,第一主動式鰭片F1及第二主動式鰭片F2亦可包含Si。
然而,本揭露內容並非僅限於此,且基板100與第一主動式鰭片F1及第二主動式鰭片F2亦可含有彼此互不相同的材料。
舉例而言,當基板100含有Si時,第一主動式鰭片F1及第二主動式鰭片F2可含有不同於Si的半導體材料。在此種情形中,第一主動式鰭片F1及第二主動式鰭片F2可例如藉由磊晶成長(epitaxial growth)製程而形成於基板100上。
元件隔離膜103可形成於基板100上,以覆蓋第一主動式鰭片F1的側壁的一部分及第二主動式鰭片F2的側壁的一部分,並暴露出第一主動式鰭片F1的上部部分及第二主動式鰭片F2的上部部分。也就是說,如圖所示,元件隔離膜103可覆蓋第一主動式鰭片F1的下部部分及第二主動式鰭片F2的下部部分。
在某些實施例中,元件隔離膜103可例如包括氧化物膜、氮氧化物膜或氮化物膜中的任意一者,但本發明概念的實施例並非僅限於此。
與第一主動式鰭片F1及第二主動式鰭片F2交叉的閘極絕緣膜132、第一功函數調整層292及第一閘電極251可安置於第一主動式鰭片F1及第二主動式鰭片F2上。
如圖5所示,閘極絕緣膜132可形成於第一主動式鰭片F1的上表面及第二主動式鰭片F2的上表面上,且可形成為具有沿間隙壁115的側壁自基板100突出的形狀。閘極絕緣膜132的此種形狀可歸因於根據此實施例的第一下拉電晶體PD1是例如藉由閘極替換(gate replacement)製程而形成。
閘極絕緣膜132亦可沿元件隔離膜103的上表面、第一主動式鰭片F1的上表面及側面以及第二主動式鰭片F2的上表面及側面而形成。
閘極絕緣膜132可含有具有較氧化矽膜高的介電常數(dielectric constant)的高介電常數材料。舉例而言,閘極絕緣膜132可含有HfO2 、ZrO2 、AP2 O3 或Ta2 O5 ,但本發明概念的實施例並非僅限於此。
第一功函數調整層292可形成於閘極絕緣膜132上。第一功函數調整層292可調整第一下拉電晶體PD1的功函數,且第一閘電極251可傳送自外部施加的閘極電壓。此外,第一閘電極251可填充藉由第一功函數調整層292形成的空間。
在某些實施例中,第一功函數調整層292可含有第一金屬,且第一閘電極251可包含第二金屬。
如圖5所示,第一功函數調整層292可沿閘極絕緣膜132的上表面及第一閘電極251的側面向上延伸。第一功函數調整層292可具有此形狀乃因根據此實施例的第一下拉電晶體PD1是例如藉由閘極替換製程而形成。
此外,如圖5所示,第一功函數調整層292可沿元件隔離膜103的上部部分、第一主動式鰭片F1的側壁及上部部分、以及第二主動式鰭片F2的側壁及上部部分共形地安置。
第一功函數調整層292可例如包括N型功函數調整層。第一功函數調整層292可例如含有TiN、TaN、TiC、TiAlC及TaC中的至少一者。
第一閘電極251可例如含有鎢(W)或鋁(Al)。然而,本發明的實施例並非僅限於此,且第一功函數調整層292及第一閘電極251的構造可自上述構造加以修改。
與此同時,在某些其他實施例中,第一閘電極251可含有例如Si、SiGe或類似物等而非含有金屬。
間隙壁115可形成於第一閘電極251的至少一個側上。在本發明概念的某些實施例中,間隙壁115可形成於第一閘電極251的兩側上。
儘管圖中示出柱狀間隙壁115,本揭露內容並非僅限於此。在其他實施例中,間隙壁115可具有不同的形狀。
在此實施例中,間隙壁115可例如包括氮化物膜。具體而言,間隙壁115可包括氮化矽膜。然而,本發明概念的實施例並非僅限於此,且可使用其他材料形成間隙壁115。舉例而言,在某些其他實施例中,間隙壁115可包括氧化物膜或氮氧化物膜。
第一雜質磊晶層130可在間隙壁115的兩側上形成於第一主動式鰭片F1及第二主動式鰭片F2上。
在某些實施例中,第一雜質磊晶層130可形成於第一主動式鰭片F1及第二主動式鰭片F2之被部分地蝕刻的區中。第一雜質磊晶層130可例如藉由磊晶成長製程而形成於第一主動式鰭片F1及第二主動式鰭片F2上。
在某些實施例中,第一雜質磊晶層130可為抬升式(elevated)源極或汲極區。也就是說,第一雜質磊晶層130的上表面可高於第一主動式鰭片F1的上表面及第二主動式鰭片F2的上表面。
第一雜質磊晶層130可含有半導體材料。在某些實施例中,第一雜質磊晶層130可例如含有Si,但本發明概念的實施例並非僅限於此。
在某些實施例中,第一雜質磊晶層130可含有與基板100相同的材料或張應力(tensile stress)材料。舉例而言,當基板100含有Si時,第一雜質磊晶層130可含有Si或可含有具有較Si小的晶格常數(lattice constant)的材料(例如,SiC、SiP)。
所述張應力材料可藉由對界定於第一主動式鰭片F1與第二主動式鰭片F2中的通道區施加張應力來提高所述通道區中載子的移動率。
參照圖4及圖6,第一上拉電晶體PU1可界定於第一閘電極251與第三主動式鰭片F3交叉的區中。
第一上拉電晶體PU1可包括第三主動式鰭片F3、第一閘電極251、第二功函數調整層294、閘極絕緣膜132、間隙壁115及第二雜質磊晶層140。
由於對第三主動式鰭片F3、第一閘電極251、閘極絕緣膜132及間隙壁115的說明實質上等同於上述者,故將不再對其予以贅述。
第二功函數調整層294可調整第一上拉電晶體PU1的功函數。在某些實施例中,第二功函數調整層294可包含與包含於第一功函數調整層292中的第一金屬不同的第三金屬。
第二功函數調整層294可例如包括P型功函數調整層。第二功函數調整層294可例如含有TiN、TaN、TiC、TiAlC及TaC中的至少一者。
第二雜質磊晶層140可含有壓應力(compressive stress)材料。舉例而言,所述壓應力材料可為具有較Si大的晶格常數的材料,例如SiGe。
所述壓應力材料可藉由對界定於第三主動式鰭片F3中的通道區施加壓應力來提高所述通道區中載子的移動率。
由於第一主動式鰭片F1與第二主動式鰭片F2之間的間隔P1是窄的,基板100的位於所述鰭片之間的上表面可相對地高。由於第三主動式鰭片F3與第四主動式鰭片F4之間的間隔P2是寬的,基板100的位於所述鰭片之間的上表面可相對地低。
因此,在此實施例中,第一主動式鰭片F1及第二主動式鰭片F2中用於NFET電晶體的通道區可小於第三主動式鰭片F3及第四主動式鰭片F4中用於PFET電晶體的通道區。
由於在NFET電晶體中使用電子作為載子且在PFET電晶體中使用電洞作為載子,當NFET電晶體的通道區與PFET電晶體的通道區相同時,NFET的運作特性及PFET的運作特性可因載子的移動率不同而改變。
重新參照圖4,第二上拉電晶體PU2可界定於第三閘電極253與第四主動式鰭片F4交叉的區中。第二下拉電晶體PD2可界定於其中第三閘電極253與第五主動式鰭片F5及第六主動式鰭片F6交叉的區中。
由於第二上拉電晶體PU2可具有與上述第一上拉電晶體PU1實質上相同的構造,且第二下拉電晶體PD2可具有與上述第一下拉電晶體PD1實質上相同的構造,對第二上拉電晶體PU2及第二下拉電晶體PD2描述將予以省略。
如圖4所示,半導體裝置1可包括第一區及第二區,其中第一下拉電晶體PD1及第二下拉電晶體PD2界定於所述第一區中且可例如被實作為NFET電晶體,第一上拉電晶體PU1及第二上拉電晶體PU2界定於所述第二區中且可例如被實作為PFET電晶體。安置於第一區中的主動式鰭片(例如,主動式鰭片F1、主動式鰭片F2、主動式鰭片F5、主動式鰭片F6)之間的間隔P1可小於安置於第二區中的主動式鰭片(例如,主動式鰭片F3、主動式鰭片F4)之間的間隔P2。因此,安置於第一區中的主動式鰭片(例如,主動式鰭片F1、主動式鰭片F2、主動式鰭片F5、主動式鰭片F6)自元件隔離膜(例如,元件隔離膜103)突出相對小的量,且安置於第二區中的主動式鰭片(例如,主動式鰭片F3、主動式鰭片F4)自元件隔離膜(例如,元件隔離膜103)突出相對大的量。
第一傳送電晶體PS1可界定於第二閘電極252與第一主動式鰭片F1及第二主動式鰭片F2交叉的區中。第二傳送電晶體PS2可界定於第四閘電極254與第五主動式鰭片F5及第六主動式鰭片F6交叉的區中。
儘管未清楚地示出,然而大量的接觸窗250可形成於第一閘電極251至第四閘電極254與第一主動式鰭片F1、第二主動式鰭片F2、第三主動式鰭片F3、第四主動式鰭片F4、第五主動式鰭片F5、第六主動式鰭片F6交叉的區的兩側上。
第一共用接觸窗261可電性連接第三主動式鰭片F3、第三閘電極253及導線271。第二共用接觸窗262可電性連接第四主動式鰭片F4、第一閘電極251及導線272。
圖7是根據本發明概念的另一實施例的一種半導體裝置的概念圖。以下說明將闡述與上述實施例的不同之處。
參照圖7,根據此實施例的半導體裝置4可包括邏輯區10及SRAM形成區20。運作半導體裝置4的所需的邏輯元件可形成於邏輯區10中,且SRAM元件可形成於SRAM形成區20中。
在某些實施例中,可將根據本發明概念的上述實施例的半導體裝置中的任意一者安置於SRAM形成區20中。在本發明概念的其他實施例中,可將根據本發明概念的上述實施例的不同半導體裝置中的多於一個半導體裝置以相互組合的形式安置於SRAM形成區20中。
儘管圖7示出邏輯區10及SRAM形成區20作為實例,其並非僅限於此。舉例而言,根據本發明概念的實施例的半導體裝置可實作於其中形成有與邏輯區10不同的記憶體的區(例如,DRAM、MRAM、RRAM、PRAM及類似記憶體等)中。
圖8是包括根據本發明概念的實施例的半導體裝置的一種SoC系統的方塊圖。
參照圖8,SoC系統1000包括應用處理器1001及DRAM 1060。
應用處理器1001可包括中央處理單元1010、多媒體系統1020、多層階互連匯流排(multilevel interconnection bus)1030、記憶體系統1040及週邊電路1050。
中央處理單元1010可執行需要驅動SoC系統1000的操作。在本發明概念的某些實施例中,中央處理單元1010可為包括多個核的多核環境(multi-core environment)。
在實施例中,中央處理單元1010可例如包括SRAM快取記憶體。所述快取記憶體可包括L1快取記憶體及L2快取記憶體。根據本發明概念的上述實施例的半導體裝置可例如用作快取記憶體的組件。
多媒體系統1020可用於在SoC系統1000中執行各種多媒體功能。多媒體系統1020可包括三維引擎模組(3D engine module)、視訊編解碼器(video codec)、顯示系統、相機系統、後處理器(post-processor)及類似物等。
多層階互連匯流排1030可用於中央處理單元1010、多媒體系統1020、記憶體系統1040及週邊電路1050之間的通訊。在本發明概念的某些實施例中,多層階互連匯流排1030可具有多層結構。具體而言,作為多層階互連匯流排1030的實例,可使用多層進階高效能匯流排(multi-layer Advanced High-performance Bus,multi-layer AHB)或多層進階可延伸介面(multi-layer Advanced eXtensible Interface,multi-layer AXI),但本發明概念的實施例並非僅限於此。
記憶體系統1040可提供連接至外部記憶體(例如,DRAM 1060)的應用處理器1001之必要環境以執行高速操作。在本發明概念的某些實施例中,記憶體系統1040可包括用於控制外部記憶體(例如,DRAM 1060)的另一控制器(例如,DRAM 控制器)。
週邊電路1050可用於平穩地連接SoC系統1000至外部裝置(例如,主板)。週邊電路1050可包括各種介面以連接外部裝置至SoC系統1000。
DRAM 1060可於應用處理器1001中作為運作記憶體的功能。在本發明概念的某些實施例中,如圖所示,DRAM 1060可位於應用處理器1001的外部。舉例而言,DRAM 1060可以堆疊式封裝(Package on Package,PoP)的形式與應用處理器1001一起進行封裝。
SoC系統1000的組件中的至少一者可包括根據本發明概念的上述實施例的半導體裝置中的至少一者。
圖9是包括根據本發明概念的實施例的半導體裝置的一種電子系統的方塊圖。
參照圖9,根據本發明概念的實施例的電子系統1100可包括控制器1110、輸入/輸出裝置(input/output device,I/O)1120、記憶體裝置1130、介面1140及匯流排1150。控制器1110、輸入/輸出裝置1120、記憶體裝置1130及/或介面1140可經由匯流排1150而耦合在一起。匯流排1150對應於資料經移除時所經過的路徑。
控制器1110可包括微處理器、數位訊號處理器、微控制器及/或能夠執行與該些裝置相似的功能的邏輯元件中的至少一者。輸入/輸出裝置1120可包括小鍵盤、鍵盤、顯示裝置及類似物等。記憶體裝置1130可儲存資料及/或指令。介面1140可傳送資料至通訊網路及/或自通訊網路接收資料。介面1140可為有線或無線介面。舉例而言,介面1140可包括天線或有線及無線收發機。
儘管未示出,電子系統1100可更包括作為運作記憶體的高速DRAM及/或同步DRAM(SDRAM)以改良控制器1110的運作。舉例而言,當電子系統1100包括高速SRAM時,根據本發明概念的實施例的半導體裝置可用於此種高速SRAM中。
根據本發明概念的上述實施例的半導體裝置可設置於記憶體裝置1130的內部或可被設置成控制器1110的一部分、輸入/輸出裝置(I/O)1120或類似物等。
電子系統1100可應用於個人數位助理(personal digital assistant,PDA)、可攜式電腦、網路平板(web tablet)、無線電話、行動電話、數位音樂播放機、記憶卡或任何其他可在無線環境中傳送及/或接收資訊的電子產品。
圖10至圖12是可應用根據本發明概念的實施例的半導體裝置的示例性半導體系統。
圖10是說明平板個人電腦1200的圖,圖11是說明膝上型電腦1300的圖,且圖12是說明智慧型電話1400的圖。藉由製造根據本發明概念的上述實施例的半導體裝置的方法所製造的半導體裝置可用於平板個人電腦1200、膝上型電腦1300、智慧型電話1400或類似物等中。
此外,對熟習此項技術者將顯而易見的是,根據本發明概念的上述實施例的半導體裝置亦可應用於其他未示出的積體電路裝置。
也就是說,儘管以上僅闡述平板個人電腦1200、膝上型電腦1300及智慧型電話1400作為根據此實施例的半導體系統的實例,然而根據本發明概念的實施例的半導體系統並非僅限於此。
在本發明概念的某些實施例中,所述半導體系統可藉由電腦、超行動個人電腦(ultra mobile PC,UMPC)、工作站、隨身型易網機(net-book)、個人數位助理(PDA)、可攜式電腦、無線電話、行動電話、電子書(e-book)、可攜式多媒體播放機(portable multimedia player,PMP)、可攜式遊戲機(portable game machine)、導航裝置、黑盒子(black box)、數位相機、立體電視(3-dimensional television)、數位音訊記錄機、數位音訊播放機、數位圖像記錄機、數位圖像播放機、數位視訊記錄機、數位視訊播放機或類似物等來達成。
圖4及圖13至圖26是說明根據本發明概念的實施例的一種製造半導體裝置的方法的剖視圖。
參照圖13,在基板100上依序形成硬罩幕層200、第一犧牲層300、第一抗反射層310、第二犧牲層400及第二抗反射層410。
基板100可為例如矽晶圓的半導體基板。此外,基板100可為絕緣體上覆矽(SOI)基板。可使用其他基板100。
硬罩幕層200可包括多個層。所述多個層中的每一者可包含以下中的至少一者:含矽材料,例如氧化矽(silicon oxide,SiOx )、氮氧化矽(silicon oxynitride,SiON)、氮化矽(silicon nitride,Six Ny )、正矽酸乙酯(TetraEthylOthoSilicate,TEOS)以及多晶矽;含碳材料,例如非晶碳層(amorphous carbon layer,ACL)或旋塗硬遮罩(Spin-On Hardmask,SOH);或金屬。舉例而言,所述多個層中的下部層可包括氮化矽層,且所述下部層可更包含位於所述氮化矽層之下的薄的氧化矽層。中間層可包括氧化矽。上部層可包括多晶矽。然而,本發明概念的實施例並非僅限於此。
第一犧牲層300及第二犧牲層400是在後續製程中用於形成第一間隙壁400s及第二間隙壁300s的層(參見圖15及圖18)。第一犧牲層300及第二犧牲層400可含有多晶矽、非晶碳層或旋塗硬遮罩中的任意一者。
第一抗反射層310及第二抗反射層410是可在光刻製程(photolithography process)期間用於減少或防止因下部膜的品質而導致反光的層。第一抗反射層310及第二抗反射層410可包括氮氧化矽膜(SiON)。
可藉由例如原子層沈積製程、化學氣相沈積製程或旋轉塗佈等製程形成硬罩幕層200、第一犧牲層300及第二犧牲層400、以及第一抗反射層310及第二抗反射層410,且可根據材料而添加烘烤製程(bake process)及固化製程(curing process)。
接下來,形成光阻劑PR以覆蓋第二犧牲層400,且可藉由光刻製程而以線形形狀形成第一光阻劑圖案500p1及第二光阻劑圖案500p2。可形成多個第一光阻劑圖案500p1及第二光阻劑圖案500p2中的每一者。第一光阻劑圖案500p1可形成於第二光阻劑圖案500p2之間。第一光阻劑圖案500p1可彼此間隔開。第二光阻劑圖案500p2亦可彼此間隔開。
所述多個第一光阻劑圖案500p1中的每一者可具有寬度W1。也就是說,可具有相同的寬度以使得構成SRAM的胞元區的電晶體的間隔可相互對稱。相鄰的第一光阻劑圖案500p1之間的間隔Wp1可大於寬度W1。然而,本發明概念的實施例並非僅限於此。可調整第一光阻劑圖案500p1的寬度W1或第一光阻劑圖案500p1之間的間隔Wp1,以放置隨後將形成的主動式鰭片。
所述多個第二光阻劑圖案500p2中的每一者可具有寬度W2。第二光阻劑圖案500p2與和其相鄰的第一光阻劑圖案500p1之間的間隔Wp2可不同於相鄰的第一光阻劑圖案500p1之間的間隔Wp1。具體而言,相鄰的第一光阻劑圖案500p1之間的間隔Wp1可大於第二光阻劑圖案500p2與和其相鄰的第一光阻劑圖案500p1之間的間隔Wp2。然而,本發明概念的實施例並非僅限於此。
參照圖14,藉由使用第一光阻劑圖案500p1及第二光阻圖案500p2作為蝕刻遮罩來各向異性地蝕刻第二抗反射層410及第二犧牲層400,可在第一犧牲層300上形成包括呈線形形狀的多個上部子芯軸400p1及多個上部子芯軸400p2的上部芯軸。上部子芯軸400p1及上部子芯軸400p2可包括上部胞元子芯軸410p1及上部虛設子芯軸410p2。
上部胞元子芯軸410p1是由第一光阻劑圖案500p1形成,且上部虛設子芯軸410p2是由第二光阻劑圖案500p2形成。因此,上部胞元子芯軸410p1的寬度相同於第一光阻劑圖案500p1的寬度W1,且上部虛設子芯軸410p2的寬度相同於第二光阻劑圖案500p2的寬度W2。此外,相鄰的上部胞元子芯軸410p1之間的間隔亦相同於第一光阻劑圖案500p1之間的間隔Wp1,且上部胞元子芯軸410p1與相鄰的上部虛設子芯軸410p2之間的間隔相同於第二光阻劑圖案500p2與第一光阻劑圖案500p1之間的間隔Wp2。因此,上部胞元子芯軸410p1的寬度W1可不同於上部虛設子芯軸410p2的寬度W2。具體而言,上部胞元子芯軸410p1的寬度W1可大於上部虛設子芯軸410p2的寬度W2。
參照圖15,在上部子芯軸400p1的側壁及上部子芯軸400p2的側壁上形成第一間隙壁400s。
具體而言,可形成第一間隙壁材料層,以共形地覆蓋上部子芯軸400p1及上部子芯軸400p2。可藉由進行回蝕製程而在上部子芯軸400p1的側壁及上部子芯軸400p2的側壁上形成第一間隙壁400s。可考慮到鰭片型圖案之間的間隔而對第一間隙壁400s的寬度W3加以確定。所述鰭片型圖案之間的間隔可窄於商業光刻設備的解析度極限(limit of resolution)。
第一間隙壁400s可由相對於上部子芯軸400p1及上部子芯軸400p2具有蝕刻選擇性的材料形成。舉例而言,當上部子芯軸400p1及上部子芯軸400p2由多晶矽、非晶碳層(ACL)或旋塗硬遮罩(SOH)中的任意一者製成時,第一間隙壁材料層可由氧化矽或氮化矽製成。第一間隙壁材料層可藉由原子層沈積(ALD)製程而形成。
參照圖16,可選擇性地移除上部子芯軸400p1及上部子芯軸400p2,以使第一間隙壁400s以線形形狀存留於第一犧牲層300上。由於在特定蝕刻條件下第一間隙壁400s相對於上部子芯軸400p1及上部子芯軸400p2具有蝕刻選擇性,可選擇性地移除上部子芯軸400p1及上部子芯軸400p2。
參照圖17,可在硬罩幕層200上形成包括多個下部子芯軸300p的下部芯軸。
藉由使用第一間隙壁400s作為蝕刻遮罩來蝕刻第一抗反射層310及第一犧牲層300,可在硬罩幕層200上形成下部子芯軸300p。每一下部子芯軸300p的寬度可相同於第一間隙壁400s的寬度W3。如圖所示,下部子芯軸300p皆可具有相同的寬度W3。
參照圖18,可在下部子芯軸300p的側壁上形成第二間隙壁300s。
具體而言,形成第二間隙壁材料層以共形地覆蓋下部子芯軸300p,並接著進行回蝕製程以在下部子芯軸300p的側壁上形成第二間隙壁300s。可考慮到欲在後續製程中形成的鰭片型圖案的線寬而決定每一第二間隙壁的寬度W4。所述鰭片型圖案的線寬可小於商業光刻設備的解析度極限。
所述第二間隙壁材料層可由相對於下部子芯軸300p具有蝕刻選擇性的材料製成。舉例而言,當下部子芯軸300p由多晶矽、非晶碳層(ACL)或旋塗硬遮罩(SOH)中的一者製成時,第二間隙壁材料層可由氧化矽或氮化矽製成。第二間隙壁材料層可藉由原子層沈積(ALD)製程而形成。
參照圖19,可選擇性地移除下部子芯軸300p,以使第二間隙壁300s以多條線的形式留在硬罩幕層200上。由於在特定蝕刻條件下第二間隙壁300s相對於下部子芯軸300p具有蝕刻選擇性,可選擇性地移除下部子芯軸300p。第二間隙壁300s可具有不同的節距。
參照圖20,藉由使用第二間隙壁300s作為蝕刻遮罩來各向異性地蝕刻硬罩幕層200及基板100,可在基板100上形成具有不同節距的鰭片型圖案。
首先,藉由使用具有不同節距的第二間隙壁300s作為蝕刻遮罩來蝕刻所述硬罩幕層,可形成具有不同節距的硬遮罩圖案200p。隨後,藉由使用硬遮罩圖案200p作為蝕刻遮罩來各向異性地蝕刻基板100,可形成具有不同節距的鰭片型圖案100p。在完成對基板100的各向異性蝕刻之後,硬罩幕層圖案200p可存留於鰭片型圖案100p的上部部分上。鰭片型圖案100p可成對地安置,其中每一對鰭片型圖案中的鰭片型圖案被一段距離或「間隔」分隔開。間隔(寬度W3)可相同於第二間隙壁300s的寬度。所述成對的鰭片型圖案100p可由不同的間隔分隔開。所述不同的間隔可大於間隔(寬度W3)。此外,所述不同的間隔可不為間隔(寬度W3)的倍數。舉例而言,間隔(寬度W3)可為但不限於40奈米或小於40奈米。
參照圖21,藉由移除鰭片型圖案100p的一部分,可形成元件隔離膜103以使鰭片型圖案100p的上部部分自元件隔離膜103突出。圖21是圖20所示的部分A-A的放大圖。
圖20所示的部分A-A部中的八個鰭片型圖案100p可變成SRAM的胞元區。也就是說,八個鰭片型圖案100p中的六個鰭片型圖案100p可作為第一鰭片F1至第六鰭片F6。可移除安置於第二鰭片F2與第三鰭片F3之間的鰭片型圖案100p及安置於第四鰭片F4與第五鰭片F5之間的鰭片型圖案100p。其中所述圖案被移除的部分可作為形成圖4所示間隔P2及間隔P4的部分。也就是說,可藉由移除鰭片型圖案100p而形成下拉電晶體與上拉電晶體之間的間隔。
可在移除所述兩個鰭片型圖案100p的期間,在鰭片型圖案100p被移除的位置形成溝槽T1及溝槽T2。溝槽T1及溝槽T2可與用於元件隔離膜的溝槽T3同時形成。然而,本發明概念的實施例並非僅限於此。溝槽T1至溝槽T3可形成於基板100的上表面上以具有台階。溝槽T1至溝槽T3可具有與鰭片型圖案100p的側面不連續的側壁。
在形成填充於藉由各向異性地蝕刻基板100而形成於鰭片型圖案100p之間的淺溝槽中的絕緣層之後,可藉由進行平坦化製程而形成初步元件隔離層以顯露出硬遮罩圖案200p。
接下來,在形成深的溝槽T1至溝槽T3之後,形成填滿所述深的溝槽的絕緣層。接下來,藉由進行平坦化製程而形成元件隔離膜103以暴露出硬遮罩圖案200p。然而,本發明概念的實施例並非僅限於此,且根據實施例而定,可不形成深的溝槽T1至溝槽T3。
所述絕緣層可由以下中的至少一者製成:硼磷矽玻璃(boron-phosphor silicate glass,BPSG)、高密度電漿(high density plasma,HDP)、可流動氧化物(flowable oxide,FOX)、東燃矽氮烷(tonen silazene,TOSZ)、旋塗玻璃(spin on glass,SOG)、無摻雜矽石玻璃(undoped silica glass,USG)、正矽酸乙酯(teraethyl ortho silicate,TEOS)及低溫氧化物(low temperature oxide,LTO)。所述平坦化製程可為化學機械拋光(chemical mechanical polishing,CMP)製程。
接下來,在移除存留於鰭片型圖案100p的上部部分上的硬遮罩圖案200p之後,可蝕刻元件隔離膜103至預定深度以使鰭片型圖案100p的所述上部部分突出於元件隔離膜103的頂面上方。
第一鰭片F1至第六鰭片F6的間隔P1至間隔P5可不同。第一鰭片F1與第二鰭片F2之間的間隔P1可相同於第五鰭片F5與第六鰭片F6之間的間隔P5。此歸因於第二間隙壁300s均具有相同的寬度W4。可根據上部子芯軸400p1的寬度及上部子芯軸400p2的寬度來調整第二鰭片F2與第三鰭片F3之間的間隔P2及第四鰭片F4與第五鰭片F5之間的間隔P4。也就是說,由於上部子芯軸400p1的寬度及上部子芯軸400p2的寬度被相等地形成,因此第二鰭片F2與第三鰭片F3之間的間隔P2與第四鰭片F4與第五鰭片F5之間的間隔P4可彼此相同。
可根據上部子芯軸400p1之間的間隔Wp1來確定第三鰭片F3與第四鰭片F4之間的間隔P3。參照圖4,可在第三鰭片F3及第四鰭片F4中的每一者中形成上拉電晶體。在根據本發明概念的實施例的半導體裝置中,由於調整上部子芯軸400p1與上部子芯軸400p2之間的間隔,可無需在第三鰭片F3與第四鰭片F4之間進行鰭片切割(例如蝕刻鰭片型圖案)。也就是說,藉由簡單地擴寬上部子芯軸400p1與上部子芯軸400p2之間的間隔,可調整第三鰭片F3與第四鰭片F4之間的間隔。因此,可不在第三鰭片F3與第四鰭片F4之間形成溝槽。
圖22至圖25說明藉由參照圖13至圖21所述的製程而形成的裝置。圖22至圖25是沿圖4所示的線A-A及線B-B截取的剖視圖。參照圖22,基板100可包括N井區NW。除N井區NW外的區可為摻雜有P型雜質的區。可在形成鰭片型圖案100p之前預先在基板100上形成N井區NW。
可形成犧牲閘極絕緣層610及犧牲閘電極620,以覆蓋透過元件隔離膜103暴露出的第一鰭片F1至第六鰭片F6。
首先,形成犧牲閘極絕緣層610、犧牲閘電極620及遮罩層630。隨後,在圖案化遮罩層630之後,可使用經圖案化的遮罩層作為蝕刻遮罩來圖案化犧牲閘電極620。
犧牲閘極絕緣層610可包括例如氧化矽膜以及氮氧化矽膜中的至少一者。犧牲閘電極620可由多晶矽製成,但並非僅限於此。
接下來,可在犧牲閘電極620的側面上形成閘極間隙壁640,且可在暴露於犧牲閘電極620的側面的主動式圖案中形成源極/汲極區635。
具體而言,在犧牲閘電極620上共形地形成間隙壁材料層之後,可藉由對所述間隙壁材料層進行回蝕而形成閘極間隙壁640。隨後,可藉由進行雜質的離子植入(ion-implantation)而形成源極/汲極區635。可在所述離子植入之後執行熱處理製程,以使雜質活化。
參照圖23,可形成環繞犧牲閘電極620及閘極間隙壁640的層間絕緣層650,且層間絕緣層650暴露出犧牲閘電極620的上表面。
在形成覆蓋犧牲閘電極620及閘極間隙壁640的層間絕緣層650之後,可進行平坦化製程以暴露出犧牲閘電極620的上表面。所述平坦化製程可包括例如化學機械拋光製程。層間絕緣層650可藉由平坦化製程而製作完成。
參照圖24及圖25,藉由移除犧牲閘電極620及犧牲閘極絕緣層610而在層間絕緣層650內形成溝槽。可在所述溝槽內共形地形成閘極絕緣層660及閘電極670。隨後,可進行平坦化製程以暴露出層間絕緣層650的上表面。閘電極670可藉由所述平坦化製程而製作完成。
閘極絕緣層660可包括高介電常數膜。本文中,用語「高介電常數膜」指代具有較氧化矽膜的介電常數高的介電常數的一種或多種絕緣材料。此種高介電常數膜的實例包括:氧化鉭膜、氧化鈦膜、氧化鉿膜、氧化鋯膜、氧化鋁膜、氧化釔膜、氧化鈮膜、矽化鉿膜及矽化鋯膜。閘極絕緣層660可藉由例如化學氣相沈積(CVD)或原子層沈積(ALD)形成。
閘電極670可包括至少一個功函數調整膜及至少一種閘極金屬。所述功函數調整膜可為自TiN、TaN、WN、TiAl、TiAlN、TaC或TiC所組成的群組選出的任意一者。所述閘極金屬可為鋁(Al)、鎢(W)以及鉬(Mo)中的至少一者。功函數調整膜可充當閘極金屬的擴散防止膜(diffusion prevention film)。根據材料而定,閘電極670可藉由例如化學氣相沈積或原子層沈積而形成。
N井區NW中的功函數調整膜可不同於其他區(摻雜有P型雜質的區)中的功函數調整層,且可能需要執行額外製程以在摻雜有P型雜質的區中形成所述功函數調整膜。
根據本發明概念的實施例的一種製造半導體裝置的方法可利用自對準四重圖案化(SAQP)形成微型化SRAM胞元。可能需要多個彼此分隔開特定間隔的鰭片型圖案來形成SRAM。過去一直使用一種形成具有相同間隔的若干鰭片並移除中間鰭片以增大某些鰭片之間的間隔的方法。在此種方法中,SRAM中的元件可僅彼此間隔開鰭片節距的倍數。也就是說,無法使鰭片精確地間隔開所需間隔,而是僅可使相應鰭片間隔開鰭片節距的倍數。
相比之下,在根據本發明概念的實施例的所述製造半導體裝置的方法中,可藉由調整子芯軸的寬度及間隔而達成所需的每一不同鰭片節距。因此,可製造具有所需最佳間隔而非現有給定鰭片節距的倍數的半導體裝置。此外,藉由在具有相同節距的現有鰭片型圖案中調整子芯軸之間的間隔,可於在即使需要將鰭片移除的部分(兩個上拉電晶體元件之間的間隔)中也無需移除所述鰭片的條件下藉由預先確保所述間隔來減少製程浪費,且亦可減小因額外製程而帶來的風險。
此外,藉由引入自對準四重圖案化方法,可增大彼此緊鄰的多個鰭片型圖案的單個切割製程的難度。也就是說,移除一個鰭片型圖案的製程的產率可減小。因此,可藉由預先在每一鰭片型圖案之間設定寬的間隔來獨立地移除鰭片型圖案。此使得可提高所述半導體裝置的可靠性。
以下將參照圖26闡述根據本發明概念的另一實施例的一種製造半導體裝置的方法。將對與上述實施例重複的部分予以省略。
參照圖26,光阻劑圖案500p1、500p1’可分別具有不同的寬度W1及寬度W1’。由於光阻劑圖案500p1、500p1’具有不同的寬度,隨後形成的第一間隙壁400s、下部子芯軸300p、第二間隙壁300s及鰭片型圖案100p的所有間隔可有所變化。因此,在此實施例的製造半導體裝置的方法中,可慮及製程中的微小錯誤來進行上拉電晶體及下拉電晶體的配置。
儘管已參照本發明概念的示例性實施例具體示出並闡述了本發明概念,然而此項技術中具有通常知識者應理解,可對其作出形式及細節上的各種改變,而此並不背離由以下申請專利範圍所界定的本發明概念的精神及範圍。因此本文實施例在各個方面皆應被理解為說明性的而非限制性的,且應參照隨附申請專利範圍而非前述說明來指示本發明概念的範圍。
1、4‧‧‧半導體裝置
10‧‧‧邏輯區
20‧‧‧SRAM形成區
50‧‧‧基板
100‧‧‧基板
100p‧‧‧鰭片型圖案
103‧‧‧元件隔離膜
115‧‧‧間隙壁
130‧‧‧第一雜質磊晶層
132‧‧‧閘極絕緣膜
140‧‧‧第二雜質磊晶層
200‧‧‧硬罩幕層
200p‧‧‧硬遮罩圖案
250‧‧‧接觸窗
251‧‧‧第一閘電極
252‧‧‧第二閘電極
253‧‧‧第三閘電極
254‧‧‧第四閘電極
261‧‧‧第一共用接觸窗
262‧‧‧第二共用接觸窗
271、272‧‧‧導線
292‧‧‧第一功函數調整層
294‧‧‧第二功函數調整層
300‧‧‧第一犧牲層
300p‧‧‧下部子芯軸
300s‧‧‧第二間隙壁
310‧‧‧第一抗反射層
400‧‧‧第二犧牲層
400p1‧‧‧上部子芯軸
400p2‧‧‧上部子芯軸
400s‧‧‧第一間隙壁
410‧‧‧第二抗反射層
410p1‧‧‧上部胞元子芯軸
410p2‧‧‧上部虛設子芯軸
500p1、500p1’‧‧‧光阻劑圖案
500p2‧‧‧第二光阻劑圖案
610‧‧‧犧牲閘極絕緣層
620‧‧‧犧牲閘電極
630‧‧‧遮罩層
635‧‧‧源極/汲極區
640‧‧‧閘極間隙壁
650‧‧‧層間絕緣層
660‧‧‧閘極絕緣層
670‧‧‧閘電極
1000‧‧‧SoC系統
1001‧‧‧應用處理器
1010‧‧‧中央處理單元
1020‧‧‧多媒體系統
1030‧‧‧多層階互連匯流排
1040‧‧‧記憶體系統
1050‧‧‧週邊電路
1060‧‧‧DRAM
1100‧‧‧電子系統
1110‧‧‧控制器
1120‧‧‧輸入/輸出裝置
1130‧‧‧記憶體裝置
1140‧‧‧介面
1150‧‧‧匯流排
1200‧‧‧平板個人電腦
1300‧‧‧膝上型電腦
1400‧‧‧智慧型電話
A-A、B-B‧‧‧線
BL‧‧‧位元線
BL/‧‧‧互補位元線
F1、F2、F3、F4、F5、F6‧‧‧鰭片
INV1‧‧‧第一反相器
INV2‧‧‧第二反相器
MR‧‧‧記憶體胞元陣列區
NW‧‧‧N井區
PR‧‧‧週邊電路區
P1‧‧‧第一間隔
P2‧‧‧第二間隔
P3‧‧‧第三間隔
P4‧‧‧第四間隔
P5‧‧‧第五間隔
PD1‧‧‧第一下拉電晶體
PD2‧‧‧第二下拉電晶體
PS1‧‧‧第一傳送電晶體
PS2‧‧‧第二傳送電晶體
PU1‧‧‧第一上拉電晶體
PU2‧‧‧第二上拉電晶體
SMC1、SMC2‧‧‧SRAM記憶體胞元區
T1~T3‧‧‧溝槽
W1、W1’、W2、W3、W4‧‧‧寬度
WL‧‧‧字線
Wp1、Wp2‧‧‧間隔
Vcc‧‧‧電源供應節點
Vss‧‧‧接地節點
X‧‧‧第一方向
Y‧‧‧第二方向
Z‧‧‧第三方向
藉由參照附圖詳細闡述本發明概念的示例性實施例,本發明概念的以上及其他態樣及特徵將變得更顯而易見,其中: 圖1是根據本發明概念的實施例的一種半導體裝置的概念圖。 圖2是圖1所示記憶體胞元陣列區的概念圖。 圖3是圖2所示靜態隨機存取記憶體(static random access memory,SRAM)記憶體胞元區的電路圖。 圖4是圖2所示SRAM記憶體胞元區的佈局圖。 圖5是說明圖4所示下拉(pull-down)電晶體的立體圖。 圖6是說明圖4所示上拉(pull-up)電晶體的立體圖。 圖7是根據本發明概念的另一實施例的一種半導體裝置的概念圖。 圖8是包括根據本發明概念的實施例的半導體裝置的一種系統晶片(system on chip,SoC)系統的方塊圖。 圖9是包括根據本發明概念的實施例的一種半導體裝置的電子系統的方塊圖。 圖10至圖12是可應用根據本發明概念的實施例的半導體裝置的示例性半導體系統。 圖13至圖25是說明根據本發明概念的實施例的一種製造半導體裝置的方法的剖視圖。 圖26是闡釋根據本發明概念的另一實施例的一種製造半導體裝置的方法的剖視圖。
1‧‧‧半導體裝置
250‧‧‧接觸窗
251‧‧‧第一閘電極
252‧‧‧第二閘電極
253‧‧‧第三閘電極
254‧‧‧第四閘電極
261‧‧‧第一共用接觸窗
262‧‧‧第二共用接觸窗
271、272‧‧‧導線
A-A、B-B‧‧‧線
F1、F2、F3、F4、F5、F6‧‧‧鰭片
P1‧‧‧第一間隔
P2‧‧‧第二間隔
P3‧‧‧第三間隔
P4‧‧‧第四間隔
P5‧‧‧第五間隔
PD1‧‧‧第一下拉電晶體
PD2‧‧‧第二下拉電晶體
PS1‧‧‧第一傳送電晶體
PS2‧‧‧第二傳送電晶體
PU1‧‧‧第一上拉電晶體
PU2‧‧‧第二上拉電晶體
X‧‧‧第一方向
Y‧‧‧第二方向

Claims (20)

  1. 一種製造半導體裝置的方法,所述方法包括: 在基板上依序形成硬罩幕層及第一犧牲層; 在所述第一犧牲層上形成至少包括第一上部子芯軸至第三上部子芯軸的上部芯軸,所述第一上部子芯軸至所述第三上部子芯軸各自在第一方向上延伸且在與所述第一方向交叉的第二方向上彼此間隔開,所述第一上部子芯軸在所述第二方向上的寬度小於所述第二上部子芯軸及所述第三上部子芯軸在所述第二方向上的寬度; 在所述第一上部子芯軸至所述第三上部子芯軸中的每一者的兩個側壁上均形成第一間隙壁; 移除所述上部芯軸; 使用所述第一間隙壁作為蝕刻遮罩來蝕刻所述第一犧牲層,以形成包括多個下部子芯軸的下部芯軸; 在所述下部子芯軸的兩個側壁上均形成第二間隙壁; 移除所述下部芯軸; 使用所述第二間隙壁作為蝕刻遮罩來圖案化所述硬罩幕層及所述基板,以形成鰭片型圖案,所述鰭片型圖案包括第一鰭片至第十鰭片,所述第一鰭片至所述第十鰭片在所述第一方向上彼此並排延伸且在所述第二方向上彼此間隔開; 移除所述第一鰭片、所述第二鰭片、所述第五鰭片及所述第八鰭片;以及 形成第一閘電極及第二閘電極,所述第一閘電極在所述第二方向上延伸以與所述第三鰭片、所述第四鰭片、所述第六鰭片及所述第七鰭片交叉,且所述第二閘電極在所述第二方向上延伸以與所述第六鰭片、所述第七鰭片、所述第九鰭片及所述第十鰭片交叉而不與所述第三鰭片及所述第四鰭片交叉,並且所述第二閘電極在所述第一方向上與所述第一閘電極間隔開。
  2. 如申請專利範圍第1項所述的製造半導體裝置的方法,其中形成所述上部芯軸包括: 在所述第一犧牲層上形成第二犧牲層;以及 藉由蝕刻所述第二犧牲層而形成所述上部芯軸。
  3. 如申請專利範圍第2項所述的製造半導體裝置的方法,更包括: 在所述第一犧牲層與所述第二犧牲層之間形成抗反射層。
  4. 如申請專利範圍第1項所述的製造半導體裝置的方法,其中所述第二上部子芯軸的寬度不同於所述第三上部子芯軸的寬度。
  5. 如申請專利範圍第1項所述的製造半導體裝置的方法,其中所述第一上部子芯軸與所述第二上部子芯軸之間的間隔小於所述第二上部子芯軸與所述第三上部子芯軸之間的間隔。
  6. 如申請專利範圍第1項所述的製造半導體裝置的方法,其中所述第一間隙壁是藉由原子層沈積製程形成。
  7. 如申請專利範圍第1項所述的製造半導體裝置的方法,其中所述下部子芯軸在所述第二方向上均具有相同的寬度。
  8. 如申請專利範圍第1項所述的製造半導體裝置的方法,更包括: 在移除所述第一鰭片、所述第二鰭片、所述第五鰭片及所述第八鰭片之後形成元件隔離膜,其中所述第三鰭片、所述第四鰭片、所述第六鰭片、所述第七鰭片、所述第九鰭片及所述第十鰭片突出於所述元件隔離膜的上表面上方。
  9. 如申請專利範圍第8項所述的製造半導體裝置的方法,其中形成所述元件隔離膜包括: 藉由蝕刻所述第一鰭片及所述第二鰭片而形成溝槽;以及 在所述溝槽中形成所述元件隔離膜。
  10. 如申請專利範圍第1項所述的製造半導體裝置的方法,其中移除所述第一鰭片、所述第二鰭片、所述第五鰭片及所述第八鰭片包括: 藉由蝕刻所述第一鰭片及所述第二鰭片而形成第一溝槽,藉由蝕刻所述第五鰭片而形成第二溝槽,並藉由蝕刻所述第八鰭片而形成第三溝槽。
  11. 一種製造半導體裝置的方法,所述方法包括: 在基板上依序形成硬罩幕層及第一犧牲層; 在所述第一犧牲層上形成包括多個上部子芯軸的上部芯軸; 在所述上部子芯軸的側壁上形成第一間隙壁; 移除所述上部芯軸; 使用所述第一間隙壁作為蝕刻遮罩來蝕刻所述第一犧牲層,以形成包括多個下部子芯軸的下部芯軸; 在所述下部子芯軸的側壁上形成第二間隙壁; 移除所述下部芯軸; 藉由使用所述第二間隙壁作為蝕刻遮罩來圖案化所述硬罩幕層及所述基板而形成第一主動式鰭片至第六主動式鰭片,所述第一主動式鰭片至所述第六主動式鰭片在第一方向上彼此並排延伸且在與所述第一方向交叉的第二方向上彼此間隔開;以及 形成第一閘電極及第二閘電極,所述第一閘電極在所述第二方向上延伸以與所述第一主動式鰭片至所述第四主動式鰭片交叉,且所述第二閘電極在所述第二方向上延伸以與所述第三主動式鰭片至所述第六主動式鰭片交叉,所述第二閘電極不與所述第一主動式鰭片及所述第二主動式鰭片交叉,並且所述第二閘電極在所述第一方向上與所述第一閘電極間隔開。
  12. 如申請專利範圍第11項所述的製造半導體裝置的方法,其中所述多個上部子芯軸至少包括第一上部子芯軸及第二上部子芯軸,且 所述第一上部子芯軸的寬度與所述第二上部子芯軸的寬度彼此不同。
  13. 如申請專利範圍第11項所述的製造半導體裝置的方法,其中所述第一主動式鰭片與所述第二主動式鰭片之間的間隔小於40奈米。
  14. 如申請專利範圍第11項所述的製造半導體裝置的方法,其中所述第三主動式鰭片的一部分在所述第二方向上交疊所述第四主動式鰭片的一部分。
  15. 如申請專利範圍第11項所述的製造半導體裝置的方法,更包括: 在所述第一主動式鰭片至所述第六主動式鰭片的兩側上形成溝槽;以及 填充所述溝槽以形成元件隔離膜,其中所述第一主動式鰭片至所述第六主動式鰭片突出於所述元件隔離膜的上表面的上方。
  16. 一種製造半導體裝置的方法,所述方法包括: 在基板上形成硬罩幕層; 在與所述基板相對的所述硬罩幕層上形成第一犧牲層; 在所述第一犧牲層上形成上部芯軸,所述上部芯軸至少包括第一上部子芯軸及第二上部子芯軸,所述第一上部子芯軸及所述第二上部子芯軸各自在第一方向上延伸且在與所述第一方向交叉的第二方向上彼此間隔開; 在所述第一上部子芯軸的側壁及所述第二上部子芯軸的側壁上形成第一間隙壁; 選擇性地移除所述上部芯軸; 使用所述第一間隙壁作為蝕刻遮罩來蝕刻所述第一犧牲層,以形成至少包括第一下部子芯軸至第四下部子芯軸的下部芯軸; 在所述第一下部子芯軸至所述第四下部子芯軸的側壁上形成第二間隙壁; 移除所述下部芯軸; 使用所述第二間隙壁作為蝕刻遮罩來圖案化所述硬罩幕層及所述基板,以形成鰭片型圖案,所述鰭片型圖案包括第一鰭片至第八鰭片,所述第一鰭片至所述第八鰭片在所述第一方向上彼此並排延伸且在所述第二方向上彼此間隔開; 移除所述第三鰭片及所述第六鰭片;以及 形成第一閘電極及第二閘電極,所述第一閘電極在所述第二方向上延伸以與所述第一鰭片、所述第二鰭片、所述第四鰭片及所述第五鰭片交叉,且所述第二閘電極在所述第二方向上延伸以與所述第四鰭片、所述第五鰭片、所述第七鰭片及所述第八鰭片交叉而不與所述第一鰭片及所述第二鰭片交叉,並且所述第二閘電極在所述第一方向上與所述第一閘電極間隔開。
  17. 如申請專利範圍第16項所述的製造半導體裝置的方法,其中所述第一鰭片與所述第二鰭片之間的第一間隔相同於所述第七鰭片與所述第八鰭片之間的第二間隔,且其中所述第二鰭片與所述第四鰭片之間的第三間隔不同於所述第一間隔,且所述第三間隔不是所述第一間隔的整數倍。
  18. 如申請專利範圍第16項所述的製造半導體裝置的方法,其中所述第一鰭片與所述第二鰭片之間的間隔小於40奈米。
  19. 如申請專利範圍第16項所述的製造半導體裝置的方法,其中所述第一鰭片及所述第二鰭片與所述第一閘電極交叉,以界定第一電晶體, 其中所述第四鰭片與所述第一閘電極交叉,以界定第二電晶體,且 其中所述第五鰭片與所述第二閘電極交叉,以界定第三電晶體。
  20. 如申請專利範圍第19項所述的製造半導體裝置的方法,其中所述第一電晶體的導電類型不同於所述第二電晶體及所述第三電晶體的導電類型。
TW105103679A 2015-04-15 2016-02-04 半導體裝置的製造方法 TWI692813B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR10-2015-0053027 2015-04-15
KR1020150053027A KR102170701B1 (ko) 2015-04-15 2015-04-15 반도체 장치 제조 방법

Publications (2)

Publication Number Publication Date
TW201637099A true TW201637099A (zh) 2016-10-16
TWI692813B TWI692813B (zh) 2020-05-01

Family

ID=57130000

Family Applications (1)

Application Number Title Priority Date Filing Date
TW105103679A TWI692813B (zh) 2015-04-15 2016-02-04 半導體裝置的製造方法

Country Status (4)

Country Link
US (1) US9679815B2 (zh)
KR (1) KR102170701B1 (zh)
CN (1) CN106057869B (zh)
TW (1) TWI692813B (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI716970B (zh) * 2018-08-17 2021-01-21 台灣積體電路製造股份有限公司 製造半導體裝置的方法
TWI735800B (zh) * 2017-11-13 2021-08-11 台灣積體電路製造股份有限公司 積體電路結構以及積體電路佈局的配置方法
TWI804997B (zh) * 2021-02-01 2023-06-11 南韓商三星電子股份有限公司 半導體記憶元件

Families Citing this family (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10355110B2 (en) * 2016-08-02 2019-07-16 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET and method of forming same
US10388644B2 (en) 2016-11-29 2019-08-20 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing conductors and semiconductor device which includes conductors
US10418244B2 (en) * 2017-01-18 2019-09-17 Qualcomm Incorporated Modified self-aligned quadruple patterning (SAQP) processes using cut pattern masks to fabricate integrated circuit (IC) cells with reduced area
KR102221220B1 (ko) 2017-05-24 2021-03-03 삼성전자주식회사 반도체 장치
KR102336784B1 (ko) * 2017-06-09 2021-12-07 삼성전자주식회사 반도체 장치
KR102527383B1 (ko) * 2017-09-15 2023-04-28 삼성전자주식회사 핀형 활성 영역을 가지는 반도체 소자
US10475790B2 (en) * 2017-09-28 2019-11-12 Taiwan Semiconductor Manufacturing Co., Ltd. Asymmetric gate pitch
KR102544153B1 (ko) 2017-12-18 2023-06-14 삼성전자주식회사 반도체 장치 및 그 제조 방법
US10304680B1 (en) * 2017-12-22 2019-05-28 Macronix International Co., Ltd. Fabricating semiconductor devices having patterns with different feature sizes
CN109979881B (zh) * 2017-12-28 2023-07-18 中芯国际集成电路制造(北京)有限公司 静态随机存取存储器的结构及其形成方法
KR102484393B1 (ko) * 2018-01-17 2023-01-03 삼성전자주식회사 반도체 소자 제조 방법 및 이에 의한 반도체 소자
TWI750316B (zh) 2018-02-09 2021-12-21 聯華電子股份有限公司 1-1強制性鰭狀堆疊反向器及形成強制性鰭狀堆疊反向器的方法
CN110233107A (zh) * 2018-03-05 2019-09-13 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
KR102437273B1 (ko) * 2018-03-14 2022-08-30 삼성전자주식회사 3차원 반도체 메모리 장치의 제조 방법
CN110690218B (zh) * 2018-07-05 2022-07-05 中芯国际集成电路制造(上海)有限公司 半导体器件及其形成方法
CN110875313A (zh) * 2018-08-30 2020-03-10 长鑫存储技术有限公司 有源区阵列及其形成方法、半导体器件及其形成方法
US10483119B1 (en) * 2018-09-20 2019-11-19 Taiwan Semiconductor Manufacturing Co., Ltd. Self-aligned double patterning (SADP) method
KR20200050708A (ko) * 2018-11-02 2020-05-12 삼성전자주식회사 반도체 소자 및 그의 제조방법
CN111200016B (zh) * 2018-11-16 2023-07-28 中芯国际集成电路制造(北京)有限公司 半导体结构及其形成方法
KR20200089919A (ko) * 2019-01-18 2020-07-28 삼성전자주식회사 반도체 장치의 제조 방법
KR20200132183A (ko) 2019-05-16 2020-11-25 삼성전자주식회사 반도체 장치 및 그 제조 방법
CN112490193A (zh) * 2019-09-12 2021-03-12 中芯国际集成电路制造(上海)有限公司 半导体器件及其形成方法
US11690209B2 (en) * 2019-09-28 2023-06-27 Taiwan Semiconductor Manufacturing Co., Ltd. Fin-based well straps for improving memory macro performance

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7253650B2 (en) 2004-05-25 2007-08-07 International Business Machines Corporation Increase productivity at wafer test using probe retest data analysis
US7709396B2 (en) 2008-09-19 2010-05-04 Applied Materials, Inc. Integral patterning of large features along with array using spacer mask patterning process flow
KR101057191B1 (ko) * 2008-12-30 2011-08-16 주식회사 하이닉스반도체 반도체 소자의 미세 패턴 형성방법
US8258572B2 (en) * 2009-12-07 2012-09-04 Taiwan Semiconductor Manufacturing Company, Ltd. SRAM structure with FinFETs having multiple fins
US8399931B2 (en) 2010-06-30 2013-03-19 Taiwan Semiconductor Manufacturing Company, Ltd. Layout for multiple-fin SRAM cell
US8633076B2 (en) 2010-11-23 2014-01-21 Taiwan Semiconductor Manufacturing Company, Ltd. Method for adjusting fin width in integrated circuitry
US20130065397A1 (en) 2011-09-12 2013-03-14 Vigma Nanoelectronics Methods to increase pattern density and release overlay requirement by combining a mask design with special fabrication processes
US8809169B2 (en) 2011-09-30 2014-08-19 Tokyo Electron Limited Multi-layer pattern for alternate ALD processes
CN103107187B (zh) 2011-11-10 2016-04-13 中芯国际集成电路制造(北京)有限公司 半导体装置的制造方法
US8557675B2 (en) 2011-11-28 2013-10-15 Globalfoundries Inc. Methods of patterning features in a structure using multiple sidewall image transfer technique
KR101871748B1 (ko) 2011-12-06 2018-06-28 삼성전자주식회사 반도체 소자의 패턴 형성 방법
US8860184B2 (en) 2011-12-29 2014-10-14 Intel Corporation Spacer assisted pitch division lithography
US8524605B1 (en) * 2012-04-16 2013-09-03 Vigma Nanoelectronics Fabrication and mask design methods using spatial frequency sextupling technique
US8669167B1 (en) 2012-08-28 2014-03-11 International Business Machines Corporation Techniques for metal gate workfunction engineering to enable multiple threshold voltage FINFET devices
US9012287B2 (en) 2012-11-14 2015-04-21 Taiwan Semiconductor Manufacturing Company, Ltd. Cell layout for SRAM FinFET transistors
CN103839781B (zh) * 2012-11-21 2016-05-25 中芯国际集成电路制造(上海)有限公司 半导体精细图案的形成方法
US8921225B2 (en) 2013-02-13 2014-12-30 Globalfoundries Inc. Method for off-grid routing structures utilizing self aligned double patterning (SADP) technology
CN104022022A (zh) * 2013-02-28 2014-09-03 中芯国际集成电路制造(上海)有限公司 多重图形的形成方法
US8975129B1 (en) * 2013-11-13 2015-03-10 Taiwan Semiconductor Manufacturing Company, Ltd. Method of making a FinFET device

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI735800B (zh) * 2017-11-13 2021-08-11 台灣積體電路製造股份有限公司 積體電路結構以及積體電路佈局的配置方法
US11462550B2 (en) 2017-11-13 2022-10-04 Taiwan Semiconductor Manufacturing Co., Ltd. SRAM structure
TWI716970B (zh) * 2018-08-17 2021-01-21 台灣積體電路製造股份有限公司 製造半導體裝置的方法
US11094802B2 (en) 2018-08-17 2021-08-17 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and semiconductor device
TWI804997B (zh) * 2021-02-01 2023-06-11 南韓商三星電子股份有限公司 半導體記憶元件

Also Published As

Publication number Publication date
KR102170701B1 (ko) 2020-10-27
CN106057869A (zh) 2016-10-26
US20160307802A1 (en) 2016-10-20
CN106057869B (zh) 2021-08-17
US9679815B2 (en) 2017-06-13
KR20160123032A (ko) 2016-10-25
TWI692813B (zh) 2020-05-01

Similar Documents

Publication Publication Date Title
TWI692813B (zh) 半導體裝置的製造方法
US10770467B2 (en) Semiconductor device and method for fabricating the same
US10128246B2 (en) Semiconductor devices including an isolation layer on a fin and methods of forming semiconductor devices including an isolation layer on a fin
US9520297B2 (en) Semiconductor device and method of fabricating the same
US10185798B2 (en) Layout design system, semiconductor device using the layout design system, and fabricating method thereof
TWI615945B (zh) 積體電路
TWI573222B (zh) 半導體裝置及其製造方法
US9923058B2 (en) Semiconductor device having a fin
US20150147860A1 (en) Methods of fabricating semiconductor devices
KR102050214B1 (ko) 반도체 소자 제조 방법
KR101831496B1 (ko) 메모리 디바이스 레이아웃, 반도체 디바이스, 및 메모리 디바이스의 제조 방법
KR20160122909A (ko) 반도체 소자 및 이의 제조 방법
US20160049394A1 (en) Semiconductor device
US20160086841A1 (en) Method for forming pattern of semiconductor device and semiconductor device formed using the same
TW201401520A (zh) 具有包含擴散阻障層之金屬閘極的積體電路元件
KR102550779B1 (ko) 반도체 장치 및 이의 제조 방법
US9577043B2 (en) Semiconductor device and method for fabricating the same
USRE49988E1 (en) Integrated circuit devices
US20240040762A1 (en) Semiconductor structure and manufacturing method thereof
TW202416450A (zh) 積體電路及其製造方法
TW202329378A (zh) 半導體裝置
TW202316576A (zh) 用於進階積體電路結構製造的閘極線插塞結構以及積體電路結構製造方法